Next Article in Journal
An Overview of State-of-the-Art D-Band Radar System Components
Previous Article in Journal
Signal Amplification by Means of a Dickson Charge Pump: Analysis and Experimental Validation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Integrated Sensor Electronic Front-Ends with Self-X Capabilities

1
Lehrstuhl Kognitive Integrierte Sensorsystem (KISE), Fachbereich Elektrotechnik und Informationstechnik, Technische Universität Kaiserslautern, 67663 Kaiserslautern, Germany
2
College of Electronics Engineering, Ninevah University, Ninevah 41002, Iraq
3
Department of Electronics Engineering, University of Engineering and Technology Taxila, Taxila 47080, Pakistan
*
Author to whom correspondence should be addressed.
Current address: KISE, Erwin-Schrödinger-Strasse, Gebäude 12, 67663 Kaiserslautern, Germany.
Chips 2022, 1(2), 83-120; https://doi.org/10.3390/chips1020008
Submission received: 27 June 2022 / Revised: 29 July 2022 / Accepted: 5 August 2022 / Published: 12 August 2022

Abstract

:
The ongoing vivid advance in integration technologies is giving leverage both to computing systems as well as to sensors and sensor systems. Both conventional computing systems as well as innovative computing systems, e.g., following bio-inspiration from nervous systems or neural networks, require efficient interfacing to an increasing diversity of sensors under the constraints of metrology. The realization of sufficiently accurate, robust, and flexible analog front-ends (AFE) is decisive for the overall application system and quality and requires substantial design expertise both for cells in System-on-Chip (SoC) or chips in System-in-Package (SiP) realizations. Adding robustness and flexibility to sensory systems, e.g., for Industry 4.0., by self-X or self-* features, e.g., self-monitoring, -trimming, or -healing (AFEX) approaches the capabilities met in living beings and is pursued in our research. This paper summarizes on two chips, denoted as Universal-Sensor-Interface-with-self-X-properties (USIX) based on amplitude representation and reports on recently identified challenges and corresponding advanced solutions, e.g., on circuit assessment as well as observer robustness for classic amplitude-based AFE, and transition activities to spike domain representation spiking-analog-front-ends with self-X properties (SAFEX) based on adaptive spiking electronics as the next evolutionary step in AFE development. Key cells for AFEX and SAFEX have been designed in XFAB xh035 CMOS technology and have been subject to extrinsic optimization and/or adaptation. The submitted chip features 62,921 transistors, a total area of 10.89 mm 2 (74% analog, 26% digital), and 66 bytes of the configuration memory. The prepared demonstrator will allow intrinsic optimization and/or adaptation for the developed technology agnostic concepts and chip instances. In future work, confirmed cells will be moved to complete versatile and robust AFEs, which can serve both for conventional as well as innovative computing systems, e.g., spiking neurocomputers, as well as to leading-edge technologies to serve in SOCs.

1. Introduction

The unabated surging advance in micro/nano integration and packaging technologies [1,2], and the associated advent of both a plethora of novel sensory concepts and technologies [3] and a new generation of integrated standard or reference elements (e.g., NIST-on-a-Chip, NoaC [4]) have made increasingly complex and powerful yet affordable integrated computing systems a reality. Allied with advanced information-processing technology, commonly referred to these days as AI technology, the creation of smart or increasingly cognitive pervasive systems has become feasible for an increasing domain of applications. Recent application fields include (I)IoT, AIoT, CP(P)S, Industry 4.0 [5], automation, autonomous driving, wearable electronics, AAL/healthcare assistance systems, point-of-care-systems, etc. The aspired cognitive integrated sensory systems (CISS) for the named application fields (among others) must meet the constraints of cost effectiveness, consistent modeling, simulation and rapid design of heterogeneous systems, packaging/3D-integration issues, effective wireless or other communication, node localization, energy efficiency, and energy harvesting in their design. This already requires efficient design automation techniques from circuit and layout synthesis to automated learning or optimization of deep neural networks, e.g., [6], in particular, in their contemporary hardware manifestation [7,8,9,10], or of hybrid intelligent systems [11,12,13,14,15] (see Figure 1) The extrinsic optimization goals here are in finding at design time a new solution for a new problem or tune a solution to a changed problem.
In addition to the design goals, long-term system reliability, dependability, accuracy, and guaranteed uncertainty are of paramount importance. The robustness and adaptivity met in natural beings to perform in a noisy, hostile, and changing environment on an individual level and the evolutionary development on population level are properties envied and coveted by engineers for decades. However, it must be remembered that technical systems do not have a metabolism and observed regrowth of lost cells, tissues, limbs, or even a whole entity in, e.g., axolotl salamanders or planarians, and can, as of yet, only be rivaled to the extent of the redundancy supplied at manufacturing time.
Complementing activities of addressing the latter issues in the design phase (e.g., with yield optimization in mind, such as MUNEDA’s WiCkeD [16]), and at a later stage in the product life cycle by repeated calibration steps, more recently the realization of life-like features in technical systems, i.e., based on so called self-X or -* features, such as self-monitoring, -calibration, -trimming, -repairing, -healing, and the self-correction of each instance, become the focus of the evolution of technical systems on all levels [17,18,19,20]. This gives rise to growing and novel design challenges from the automated design of CISS and related system, both for hybrid or deep neural architectures, with a run-time with intrinsic optimization by reconfiguration and/or adaptation [12,14] (see Figure 1).
Particular emphasis is exerted on a suitable electronics design, as the lowest and decisive system level in a self-X hierarchy (see Figure 2). In particular, integrated solutions can benefit greatly from the realization of such an approach, as the costly one-by-one discrete calibration of systems will be replaced by an in-built redundancy, reconfiguration and correction features based on adaptation or learning/optimization techniques on various levels of abstraction. One prominent industrial example is the research of Synopsys, including the former work from Moortec, with Silicon Lifecycle Management (SLM) based on in-built sensing devices and corresponding control loops in their complex chips (SoCs) along with long-term data collection [21,22,23,24].
For CISS, sensors and sensor electronics, embodied by so-called analog front-ends (AFEs), are of major importance. Consequently, in the field of evolvable hardware (EHW) numerous approaches for robust, fault-tolerant analog and mixed-signal systems, extended by sensors, can be found, e.g., the work of Stoica et al. [25]. Related applicable concepts are, thus, established and can be extended by including sensors themselves with the help of auxiliary integrated actuation electronics in the named control loops [26,27]. The purpose of self-X approaches mainly focuses on upkeeping the functionality of a once achieved system under challenges of drift, aging, lesions or damage.
One interesting and crucial point, which is also part of the design and result section of this paper, is the issue and realization of the observer required in the control or optimization loop, commonly established from the same ’fallible flesh’ as the monitored circuits themselves. Numerous application works bypass this issue by using monitoring devices, e.g., ADCs, of better resolution and quality, than the monitored systems itself features, for instance in [28]. This actually is impractical, as for a viable system realization, the observer must be of the same make or origin as the remaining entity. Here, a relation to immune systems in general, and artificial immune systems in particular [29,30,31,32,33], can be observed.
Further, in modern, leading-edge integration technologies, classical analog design based on amplitude-domain information representation faces challenges that are increasingly difficult to overcome. One escape route is to follow the natural evidence once again by representing the information in the time, frequency, or spike domain, e.g., for light sensing in [34] or the Dynamic Vision Sensor (DVS) of Delbrück et al. from 2005 (see, e.g., [35]).
Time-to-digital converters have successfully established themselves [36]. Spiking AFEs (SAFEs) with adaptation features embedded in the same self-X concepts given above for their conventional counterparts are a promising and potentially technology-agnostic direction of development [37,38], interfacing to both conventional and neuro-inspired computing systems (see Figure 3).
The goals of this paper are to contribute to established amplitude-domain representation AFEs with self-X features and an emphasis of a robust and inobtrusive observer as well as a minimum of effective reconfiguration parameters, low-cost performance evaluation set-up, and optimization techniques. After a brief survey of existing AFEs, including several chips and systems of our group in Section 2, the named concepts for improved AFE with self-X properties will be described as one part of a new chip design in Section 3. Further goals target on moving from a vulnerable amplitude domain to a robust spike-based representation of sensory information and ensuing adaptive processing. This will be outlined in Section 4 for the case of a particular adaptive neural ADC as the second part of the mentioned new chip design. In Section 5, details of the chip design and the prepared corresponding prototype system will be disclosed and discussed. In conclusion, a balance of the achieved goals and on future plans and work for robust, technology-agnostic AFEs as a base level of a self-X hierarchy will be presented.

2. Survey of AFE in Industry and Research with Self-X Extension

The decisive front-end functionality has observed a realization in different complexity and scope for industrial sensor electronics and research activities, in particular, on reconfigurable analog and mixed-signal arrays, partly with self-X extension. A most recent example is given, e.g., in [39]. Two distinct approaches can be found in the history of the field. The first one relates to fault-tolerance and circuit creation or synthesis in the widest sense and is primarily pursued in the fields of Evolvable Hardware and Evolutionary Electronics based on reconfigurable analog arrays, predominantly on transistor level granularity [40,41,42,43,44,45,46,47,48], combined with algorithms of evolutionary optimization to configure and reconfigure the degrees of freedom of the given hardware for continued goal or specification fulfillment [19,20,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64]. These approaches allow both the compensation of static instance issues, e.g., defects and mismatch from manufacturing, as well as dynamic compensation of temporal phenomena such as drift, aging, or damage of defect suffered in electronics’ service time. Exploiting this approach for sensory electronics has also been investigated in our group in, e.g., [28,65,66] based on two chips with reconfigurable OpAmps and InAmp in austriamicrosystems 0.35 μ m CMOS technology and PSO-based optimization under the ideal observer assumption. One of the chips was employed by Tawdross in an intrisic evolution approach to both compensate static and dynamic issues by continuous self-monitoring and -reconfiguration.
In the second one, rapid-prototyping and genericness of system solutions and the AFE for interfacing a plethora of different sensors is in the focus on the level of cell level granularity, which substantially reduces the degrees of freedom to be implemented and determined by optimization. In general, in the industrial electronics community with prevalent amplitude-domain representation, the rich degree of freedom in transistor level-granularity was and is viewed as largely unrealistic due to excessive resource consumption, e.g., chip area, and side effects due to the additionally introduced parasitics. Thus, in commercial designs, only a minimum use of reconfiguration capabilities and related tuning can be found. Commonly, offset and gain issues are tackled, e.g., in instrumentation amplifiers denoted as DigiTrim in [67], both for deviation compensation and optimum fitting measurement signal to ADC input, denoted as Zooming ADC [68]. Moreover, power consumption and related vigilance reconfiguration have observed implementation, e.g., by programming the vertical currents in decisive parts of the amplifiers. Last not least, additional functionality for electronics and sensor temperature tracking and related compensation can be found, e.g., in [68,69,70,71,72,73,74,75,76,77,78].
More flexibility and complete AFE functionality was provided by Field-Programmable-Analog-Arrays (FPAA), e.g., relevant FPAA commercial examples [79,80,81,82,83,84] and research examples [85,86,87,88,89,90,91,92,93,94,95], and representative AFE implementations [96,97,98,99,100,101].
As can be observed, a plethora of activities in the field has and is taking place, but linking these activities to self-X concepts and architecture is less common, e.g., in [102,103,104,105]. Thus, motivated from the obvious need in sensor and measurement systems, instrumentation, automation, IoT, and Industry 4.0, we pursued research on advanced sensor interfaces or AFEs, both generic or universal, to deal with a rich collection of relevant different sensor principles and add self-X features to these AFEs, both for stand-alone use as well as being part of a more complex self-X hierarchy, as outlined in the introduction.
For the research demonstration, magneto-resisitive sensors were selected, e.g., AMR and TMR, from the xMR options. These also offer integrated or embedded actutation devices, e.g., flip and compensation coil in the case of AMR 755b Sensitec sensors, which allows one to instantiate the self-X concepts outlined in Figure 2 with regard to including both electronics and sensors in Self-x loops as evolved and reported in [26,27]. The first chip in the family of Universal-Sensor-Interface-with-self-X- properties (USIX) was designed by Rober Freier [106] in austriamicrosystems 0.35 μ m CMOS technology. The simplified block diagram of the USIX 1 chip is shown in Figure 4.
The key features are the general reconfigurability of both connectivity and analog properties as well as actuation options, e.g., the flip current control for AMR chips. Sensor sensitivity could be self-monitored, and, in case of a saturation issue, restored by a flip cycle (self-healing).
Figure 5 shows a chip photo of the fully functional design from [106]. It has observed demonstrator implementation with a dedicated Arduino set-up and applied to activities such as foodscanner development, e.g., Lab-on-Spoon realization by USIX employment [107].
The diagnostic capability and the improved integration in self-X optimization loops were open issues for that chip generation and lead to the USIX 2 chip designed by Kammara, Chinazzo, and Dobariya, as well as Gräf for the corresponding demonstrator setup [27]. The chip was also designed in austriamicrosystems 0.35 μ m CMOS technology and featured 18 mm 2 area and more than 50 k transistors. The corresponding block diagram of the USIX 2 chip is shown in Figure 6.
The USIX 2, shown in Figure 7, was enriched with features such as TMR reset actuation, more flexible connectivity generation, hotswap from up to four stored configurations, and first extension steps towards integrated impedance spectroscopy [108], which can serve both as a measurement principle for itself or for sensor diagnosis in advanced self-monitoring.
Unfortunately, the complexity of the design under tight project timing constraints led to two major design issues, rendering the chip, beyond the simulation results, not useful in practical measurement. Though the issues were localized and a repair with the Focused-Ion-Beam (FIB) method from NSC at TU Kaiserslautern was tried, it unfortunately did not lead to testing success.
In both USIX chips, several relevant technical problems for AFEs with self-X properties have not found a comprehensive and sufficiently complete answer yet. In the light of the design issues that unfortunately occurred in the USIX 2, the focus was shifted from providing a complete USIX 3 chip to elaborating in more detail solutions and advance for crucial cells and components in XFAB xh035 μ m CMOS technology, validate those by a more modest design, as detailed in Section 3 and Section 5, and in a following step, use the validated key cells to compile a complete USIX 3 chip. The main important issues relate to determining the minimum number and optimum location of tuning knobs, improvement of optimization techniques in the self-X loops, and last but not least, tackling imperfections and obtrusiveness in the optimizer/observer [109,110,111,112,113,114,115,116,117,118,119] in the named self-X loops.
Undergoing the transition from the vulnerable amplitude-domain to inherently more robust time-, pulse-, or spike-domain for future robust and technology agnostic AFEs was concurrently pursued in our group, e.g., reported in [38] with a focus on the sensor to digital conversion step and the target to integrate in the self-X-architecture described above. A first converter chip for a spiking AFE was designed by Kammara [38], as well as in the austriamicrosystems 0.35 μ m CMOS technology, and the basic functionality could be confirmed from these chip samples. In Section 4 and Section 5, the follow-up work including adaptation mechanisms, and their circuit embodiment will be presented and discussed.

3. Amplitude Domain AFEs with Self-X Extension

This section will present the principle of the sensor analog interfacing in the amplitude domain that belongs to part (a) of Figure 3. The major update of the recent work from the former USIX 1.0 and USIX 2.0 can be outlined in four perspectives:
  • The introduction of the fully differential analog circuits.
  • The limitation of the reconfigurable circuit elements to the sensitive components only.
  • The incorporation of cost-effective system performance evaluation setup based on indirect measurement methods to support the automatic test equipment (ATE).
  • Alleviation of the observer uncertainty, mainly due to imperfections of the sensor and/or ADCs.
The updated concept helps to reduce the overhead of implementing the self-X sensory electronics, as presented in Figure 2, which provides the possibility of achieving higher dynamic system performance due to reduction of the circuit parasitics and relaxation of the requirements of the system performance evaluation unit.

3.1. Instrumentation Amplifier

The instrumentation amplifier (in-amp) is the critical component of the AFE for signal conditioning in the amplitude domain for the sensors’ interface and readout circuitry [120,121]. Compared to the operational amplifier (op-amp), the main features of the in-amp are the high input impedance and common-mode rejection ratio (CMRR), making it the best choice for conditioning weak sensor signals in a noisy environment [122]. There are three major topologies for realizing the in-amp circuits [123]; those are the capacitive coupling chopper-stabilized in-amp (CCIA) [124,125], the conventional three op-amps based in-amp, and the indirect current-feedback in-amp (CFIA) [126,127,128].
The CFIA utilizes the active feedback amplifier topology (AAF) [129], also known as a differential-difference amplifier (DDF) [130]. Thus, it benefits from high input impedance, high open-loop DC gain, and wide bandwidth [120,131,132,133]. The CFIA is more area and power-efficient than 3-opamp in-amp because the input transconductance stages share the same output driver stage [121]. The CFIA’s key feature is that the common-mode voltage of the input stage is separated and isolated from the common-mode voltage of the feedback stage by utilizing two balanced differential stages [134]. Therefore, it is possible to direct the couples’ sensors having a common-mode voltage distinguished from the CFIA output common-mode voltage without additional isolation or coupling techniques [129,135]. The input and feedback transconductance convert the voltage signals to current signals and reject the common-mode voltage, thus leading to higher CMRR than the 3-opamp in-amp [128,136,137,138]. The mismatch in the feedback resistor results only in a closed-loop gain error [139] and does not affect the CMRR performance.
Depending on the input stage type (NMOS or PMOS), the ability of the CFIA to amplify sensors’ voltages that approach either of the CFIA supply rails makes it suitable to condition universal types of sensors and measurements, e.g., current sensing measurements [140,141], strain gauges [142], biomedical signals interface [139], micro-electro-mechanical systems (MEMS) interface [143,144], magnetic field sensor interface [27,145,146], electrical impedance spectroscopy (EIS) [147,148], etc. However, the CFIA suffers from two issues relevant to the DDF core amplifier; the first one is the gain inaccuracy error because of the mismatching between the input and feedback transconductance [140]. Therefore, the same type of differential transistors with extra care on layout matching has to be taken during the physical implementation; also, by using cascaded biasing currents, a better degree of matching can be accomplished [121]. The second issue is due to the limited input differential range of the input transconductance in open loop configuration [130], which especially becomes a problem when interfacing high dynamic range sensors such as the magnetoresistive sensors [149] in small node CMOS technology where the dynamic input range is already reduced.
Classical linearization solutions can be found in the literature to extend the input differential range of the CFIA [150,151], but mostly tradeoff the amplifier dynamic performance, reduce the ICMR and boost the power consumption. An innovative solution to this problem was proposed in [152], which uses the advantages of fully differential signal properties and the method to apply the negative feedback to the input and feedback transconductance stages of the DDF to create a virtual short between each pair. We proposed in [153] a fully differential CFIA based on the last solution. The reported post-layout simulations remarked on a high dynamic performance to process a large differential voltage of 1.6 V p p and achieved a total harmonics distortion (THD) of 0.95% at a signal frequency of 5 MHz , while consuming only 2.55 mW and a layout area of 0.039 mm 2 .
To support self-X properties, we introduced configuration capability to the sensitive elements of the CFIA circuit and to the elements having impact control over the circuit performance, serving as the design tuning knobs [154], as depicted in Figure 8. The configurable elements are made of digitally weighted scalable arrays controlled by the configuration bits from the optimization algorithm unit. The gate of the unselected transistor from the PMOS array is shorted to V DD ; similarly, the NMOS array is shorted to GND . It is important to fully shutdown the unselected transistor and avoid partial conduction due to the residual charge saved at the floating gate capacitance. Hot-swappable multi-row register-based memory is used to save the configuration pattern and allows switching between different saved solutions for supporting in situ calibration. In the proposed approach, the circuit’s critical devices were first identified based on the simulation results and by considering the process, voltage, and temperature (PVT) variations, while the remaining elements are fixed to their optimum designed values. Compared to the fine-granular approach [45], where every element in the circuit is made scalable, the proposed approach reached the required flexibility in terms of circuit calibrating or changing the circuit performance according to the signal requirements with the following advantages: (1) smaller design area; (2) fewer switches parasitics, which led to improving the dynamic performance of the circuit; (3) less configuration memory; and (4) faster optimization time.
Generally, the CFIA’s gain-bandwidth product (GBW) is inversely proportional to the closed-loop gain ( A CL ) [155,156] in the same fashion of the voltage-mode op-amps. Therefore, amplifying weak but high-bandwidth sensor signals demand high GBW [132], which costs more power dissipation. On the other hand, it is possible to only compensate the amplifier for the high A CL using smaller compensation capacitors, which extends the CFIA bandwidth and the slew rate as well. Nevertheless, the amplifier might not be stable for low A CL , especially for a unity gain buffer configuration that demands the highest compensation value. Our proposed design in [154] features GBW programmability by configuring the compensation capacitors according to the required stability of the selected gain. The gain can be programmed in eight levels, i.e., 1, 2, 4, 8, 16, 32, 64, and 128. Furthermore, by programming the biasing circuit, the −3 dB cutoff frequency ( f 3 dB ) can be tuned up to 250 MHz with unity gain configuration and to 0.5 MHz at A CL = 128 .
For precision amplification under high A CL , an additional scalable transconductance stage ( G mos ) with a scalable current source is added to the CFIA input stage to run the digital-auto zeroing (DOZ) task using the optimization algorithm. Compared to our former work implemented in [157], both minimized the offset voltage ( V OS ) below 100 μ V. However, the former design used switching-capacitor auto-zeroing, thus introducing the spikes’ disturbance at the output. A total of 100 bits are used for the CFIA configuration. In the recent chip, the in-amp is further supported by an automatic digital offset calibration scheme based on methodologies presented in [158,159,160], as shown in Figure 9. During the design, the statistical offset voltage ( V OS ) of the CFIA is first concluded by running the Monte Carlo simulation with a large number of samples (500 samples) under extreme voltage, temperature, and process variation conditions. The autozeroing circuit is designed and added to the CFIA to cope with the obtained maximum absolute value of V OS ). Then, the MC is repeated because the autozeroing circuit itself can change the original value of the CFIA V OS . The post-layout simulation gives a maximum V OS of ± 7 mV , while the designed automatic DOZ can treat V OS up to ± 14 mV . This should be enough to mitigate the additional offset due to the fabrication, packaging, and aging effect. During the calibration mode, the gain of the CFIA is set to the maximum (128). Therefore, the offset voltage of the digital loop control will be divided by this value. Figure 10 shows the offset correction under the imported worst statistical corner from MC samples. Considering the CFIA setup gain, the result proved the offset voltage down to 65 μ V . The physical implementation of the complete circuit is shown in Figure 11, where the PMM stands for the power monitoring module, which will be discussed later.

3.2. Anti-Aliasing Filter

The anti-aliasing filter comes in the next stage of the signal conditioning chain after amplification to remove the signal noise from the Nyquist bandwidth prior the ADC converter stage. To support an extensive bandwidth range also required for EIS, we proposed in [161] a fully-differential fourth-order tunable continuous-time active low pass filter based on the Sallen–Key structure with Butterworth approximation. The post-layout simulations proved a frequency range from 30 Hz to 7 MHz with a resolution of 200 Hz , while the filter quality factor is fixed to the well-matched capacitor ratios. This range is achieved by modifying the MOS floating resistor from [162] and by varying the MOS-resistor biasing current from 50 nA to 30 μ A , as shown from the schematic diagram in Figure 12. However, tuning the filter in this range is quite challenging to perform. A general optimization method can be followed, such as in [105,163] to tune the frequency bandwidth. To reduce the measurement cost of the optimization process, we proposed the indirect measurement principle based on non-intrusive sensors in our chip, as will be discussed next. The core amplifier of the presented filter is the fully-differential DFF used in the design of the CFIA. A modification is worked around to reduce the amplifier output resistance as required by the Sallen–Key topology and also to extend the GBW up to 250 MHz to cope with the required filter frequency. The filter circuit’s physical implementation, including the programmable biasing current unit and the additional sensors, is shown in Figure 13.

3.3. Assessment Unit

The assessment unit consists of the essential measurement setup required for evaluating the reconfigurable hardware performance. It can be performed extrinsically, intrinsically, or mixtrinsically [164]. The extrinsic evaluation is realized by the simulation-based measurement setup, while the intrinsic evaluation is based on the real hardware measurement setup. The mixtrinsic evaluation is the combination of both the real and simulation-based measurements. The concept of mixtrinsic evolution is firstly proposed by authors in [165]. They used the genetic algorithm whose population contains both intrinsic and extrinsic individuals. In the former work at our institute [164], the concept of the mixtrinsic evaluation is extended differently by performing the complex measurements (phase margin, open-loop gain, etc.) extrinsically and executing the simple measurements (output voltage swing, and common-mode range) intrinsically, which helped to reduce the complexity of the assessment unit. However, both approaches in [164,165] rely partially on simulation-based results, which are not accurate compared to the intrinsic evaluation with real hardware. Furthermore, it drains for a considerable time, limited by the simulator’s processing power, making it difficult to realize in situ calibration.
The intrinsic evaluation measurement setup cost is crucial for smart sensory electronics (SSE), especially in escalating system complexity. The performance measurement setup of the device under the test (DUT) can be divided into two fundamental categories based on the evaluation principle of the desired performance parameters. The first classification utilizes a direct performance measurement method to validate the target characteristics [105]. This category offers more accuracy and precision but raises the design complexity and physical area [166]. The second category uses the indirect measurements approach, relying on the statistical correlation between different DUT performance characteristics and low-cost test stimulation, which provides a simultaneous estimation of various DUT parameters [166,167,168,169,170,171]. We proposed in [168] a cost-effective indirect performance measurement for the smart sensory electronic system. The reconfigurable fully differential CFIA from [154] is employed as a test vehicle for the extrinsic evaluation. A sinusoidal signal with predefined amplitude and frequency is applied to the CFIA during the optimization process. Then, the THD is evaluated on the system response, which helps to predict most of the CFIA characteristics at once. It mainly relies on the fact that the design imperfection, such as slew rate, GBW, ICMR, the effective number of bits, full-power bandwidth, and signal-to-noise ratio (SNR), can be translated as a nonlinear distortion at the output of the closed-loop amplifier [172]. Unfortunately, the amplifier stability cannot be estimated from the spectrum analysis acquired by the sinusoidal response. Therefore, it is mandatory to evaluate the step response of the CFIA to predict its stability from the output response.
Compared to the optimization of digital evolvable hardware such as the field-programmable gate array (FPGA), the optimization of evolvable analog circuits at the transistor level may result in harmful solutions in terms of excessive currents that could cause a permanent failure of the DUT or reduce its life cycle. To alleviate this issue and enhance the long-term reliability, we recently embedded the low-cost indirect power monitoring module (PPM) with THD-based optimization methodology [173], as shown in Figure 14. The PPM serves two essential functions. Firstly, it helps the optimization algorithm to select the power-efficient solution. Secondly, it ensures accomplishing a safe reconfiguration pattern for the DUT. Without the presence of PPM, it is also quite challenging to constrain the acceptable current density during the optimization process without sacrificing the optimizer’s exploring capabilities.
The proposed approach mirrors a scaled-down value of the current of the power-hungry branches of the circuit into the current-starved ring oscillator, and its schematic diagram is given in Figure 15 (for simplicity, the current-starved oscillator, common-mode feedback, biasing circuit, and offset-calibration circuits are not presented in the figure). The current-starved ring oscillator [174] modulates the drawn current or the power dissipation of DUT in the form of clock frequency. This frequency is directly proportional to the consumed current; hence, this method can detect the desired power threshold level and give a fair approximation of the power consumption between various optimization solutions. Since the PPM mirrors the scaled-down current of the power-hungry branches, it has negligible effects on the overall performance of the DUT. The transistors’ sizing information are listed in Table 1.
Another low-cost on-chip indirect measurement method using non-intrusive sensors is presented in [166,167,175]. The non-intrusive sensors are electrically disconnected from the main DUT but placed in close proximity to the DUT. Therefore, the optimization process can be performed without interrupting the device’s operation or affecting its performance. The operating conditions of these non-intrusive sensors are similar to the DUT, and their performance is designed to be highly correlated to the performance characteristics of the DUT. Hence, the DUT-targeted characteristics can be predicted from different characteristics of the non-intrusive sensors measured economically. For non-intrusive sensor design, the correlation among them should be as minimal as possible. Contrarily, their correlation with the targeted characteristics of DUT should be as maximal as possible. As observed from Figure 16, the correlation among the sensors themselves is significantly small, while it has a good correlation with the performance characteristics of the CFIA.
It is a quite challenging task to model the performance characteristics of the DUT analytically [166]. Therefore, an artificial neural network is generally employed as a regressor to approximate this regression task. We proposed the indirect measurement method based on non-intrusive sensors in [175]. During the training phase, the non-intrusive sensors and DUT are simulated and subjected to the same PVT operating conditions using the combination of Monte Carlo (MC) and worse case corners (WCC). Then, 80% of the data samples are randomly selected for the training, while the remaining 20% are used to assess the regressor performance. In the testing phase, the outputs of the non-intrusive sensors are passed to the pre-trained regressor, which indirectly predicts the performance characteristics of DUT. The achieved correlation performance metric (adjusted r squared) is 91.68% [175].

3.4. Optimization Unit

For the in-field optimization process, an AI agent is required to be embedded inside the ATE, similar to the SLM agent proposed by Synopses (Concertio) [176,177]. The AI agent can be placed at different levels of the system hierarchy, for example, at the application layer, operating system, firmware, or hardware level [23,177], as shown in Figure 17. In our proposed methodology [168], the AI agent is placed at the closest level to the DUT, i.e., at the hardware level. Regarding the selection of AI-based optimizers, derivative-based optimization techniques cannot be used because of discontinuous objective space [115]. Alternatively, meta-heuristic optimization algorithms (MHOAs) perform impressively regardless of discontinuous objective space. There are numerous types of MHOAs available in the literature [178]. We selected particle swarm optimization (PSO) as an optimization unit mainly because of its easy implementation and fast convergence speed [179]. The PSO was firstly presented in [180]. Later on, several improved modifications of the PSO algorithm have been presented in the literature [181,182,183,184] to improve its exploring capability and decrease the trapping possibility into the local optima. We recently proposed a modified PSO version, named the experience replay particle swarm optimization (ERPSO) [168]. The ERPSO extended the selection producer of the traditional PSO by introducing an experience replay buffer intending to lower the trapping probability at the local optimum. The experience replay buffer is the archive of the previously discovered global best positions, while its selection is based on an adaptive epsilon greedy approach in the velocity update equation.
The optimized results are summarized in Table 2. The optimization is performed on the schematic level, and the solutions are evaluated on the post layout level. Though there must be a difference, as can be observed from the table, it is computationally expensive and time-consuming to run the optimization process on the post layout level due to the large netlist size with RC extractions. For this experiment, a sinusoidal signal with a frequency of 100 kHz and amplitude of 2 V p p is applied as a test stimulus for the targeted THD value of 70 dB . For the stability test, a step stimuli with a period of 1 μ s and amplitude of 2 V p p is used. The power threshold is assigned to 6 mA (20 mW), as per the safe operational current range of the CFIA. As it can be observed from the table, the proposed design effectively optimizes the DUT for the targeted power and THD requirements. The optimization process is run independently five times for the typical mean condition, and its statistical information has presented in the table. For all those independent tests, the power dissipation of the CFIA is within the safe limits, and the THD value indirectly satisfied the other performance characteristics of CFIA. With the addition of PMM, the average power consumption for the nominal operating conditions is reduced by roughly 25% compared to our previously reported work [168]. Other performance characteristics, especially slew rate and GBW, slightly declined due to lower power consumption, but they still fulfilled the desired performance requirement. The tested schematic solutions on the layout level show slight and acceptable differences. Hence the optimization on the schematic level for this circuit can be faithfully acknowledged.
The CFIA circuits have been tested on the chip level by running various optimization solutions obtained from the circuit level. As it can be visualized in Figure 18, the CFIA received two different solutions with a gain setting of 1 and 2, respectively. Initially, the CFIA is powered down, and the output of the SIPO is disabled to ground until 65 μ s, whereby this time, the first row of the SIPO receives the complete optimization pattern. After that, the SIPO output from the first row is shifted to the CFIA in enabled mode, while the writing of the next optimization solution is carried out in the second row parallelly. Similarly, for the time from 115 μ s, the second optimization pattern is transferred to the CFIA, which changes the gain by a factor of 2, and the writing of the next optimization solution is now performed on the third row of the SIPO. The most significant bit of the selected row of SIPO has been exposed to the chip output to debug the downloaded configuration data on the SIPO memory, as shown in Figure 19. It can also be used for handshaking or as an acknowledgement in the communication protocol between the DUT and demonstration board. In a similar approach used for the CFIA, the filter circuit is tested for two different configuration patterns to change the cutoff frequency, as shown in Figure 20.

3.5. Observer Imperfections

To address the observer imperfections, we explored the concept of robust optimization in [109,110]. The robust optimization can be split into two categories [116,185]. The first category is known as archive-based robust optimization [109,185]. This approach works specifically well with the meta-heuristic optimization algorithms because of the enormous exploring capability of the searching agents during the optimization activity. However, it costs extra memory reserves. At the beginning of the optimization process, optimizer exploitation is high. The optimizer might choose a false optimum solution because of the observer’s non-idealities, but as the exploration increases, it quantifies the solution’s correctness with the archive’s help [109]. This phenomenon is graphically illustrated in Figure 21 using the two-dimensional Griewank objective function. As the exploration of the search particles is extensively condensed around the global best position, this helps MHOAs to minimize the effects of observer imperfections with the passage of iterations.
The second category is based on the surrogate-based robust optimization method [185]. The famous Bayesian statistical regression process, more precisely, the Gaussian process regression (GPR) [110,117,118], falls under this umbrella. The GPR has been commonly used for error quantification and design optimization. The uncertainty is usually well modelled using GPR; hence, these models could better approximate the uncertainty [119]. Furthermore, it also provides the confidence interval for the estimated values [118]. The GPR model can be expressed as
f ( x ) G P m ( x ) , κ x , x
where κ x , x represents the kernel function and m ( x ) denotes the mean function. The GPR is optimized by adapting the kernel and mean value according to the training data set. Figure 22 compares the distorted output signal of the CFIA and the predicted output signal of the robust optimizer using GPR with 95% confidence intervals [110]. As observed from the figure, in addition to uncertainty level prediction, the GPR helps forecast the data, which can considerably minimize the transmission power of wireless sensor network applications. For the intrinsic evaluation of the proposed robust optimization concept, the non-idealities or uncertainty of the analog to digital converts for sampling the output response of CFIA, as shown in Figure 14, will serve as the source of observer imperfections.

4. Spiking AFEs with Self-X Extension

This section targets to move the AFE design from the vulnerable amplitude domain to a robust spike-based representation of sensory information, as referred to in Figure 3 part (c), with self-X properties for leading-edge integration technologies. Nevertheless, the focus is placed on the appropriate electronics design, as the system’s lowest and most critical level in the self-hierarchy, as shown in Figure 2. The former sensor to spike to digital converter (SSDC α ) chip introduces the SAFE inspired by human hearing acoustic localization. However, SSDC α did not make use of adaptivity as desired, e.g., to deal with challenges in advanced node CMOS technology. In this work, we pursue building blocks of the concept of robust adaptive spiking sensor systems where the essential SAFE building blocks are implemented in the recent chip. It has two different levels of adaptivity. The first level is completely local and self-adaptive, i.e., unsupervised in the classical sense. The second level is based on supervised learning and exploiting the optimization/learning using more sophisticated population-based metaheuristic algorithms.
Implementing the mixed-signal systems in the leading-edge technologies has power and speed gain advantages [186]. It is mainly due to the lower supply voltage and decreased capacitance value. On another side, many challenges imposed on the circuit design, e.g., lower supply voltage, decreased signal swing, manufacturing deviations, reduced intrinsic device gain, noise, and aggravated device mismatch [187], which complicities the signal processing in amplitude domain. Especially the complex mixed-signal system such as ADC faces the mentioned challenges when migrating to the smaller technology [186,187,188,189].
Several ADCs structures are introduced in the literature to address and attempt to overcome these challenges. One example is synthesizable stochastic flash ADC architecture, which requires many resources to implement, e.g., 3840 comparators for 5.3 bits of resolution [186,187]. However, stochastic ADCs still use amplitude-coded signals that face challenges in advanced node CMOS technology. This motivated the researchers to design electronic sensor systems using a spike or time-coded signals with a technology agnostic property, which is robust to technology scaling [37,38,190,191,192]. One model is the ADC-based current sensing [190]. Its architecture is based on a current-to-frequency converter implemented using the Izhikevich neuron model and frequency-to-digital conversion implemented by digital blocks. Authors in [193] proposed a scalable ADC based on the neural engineering framework. It takes advantage of parallelism inherent in neural networks. The encoder and neurons are designed in the analog domain, whilst the decoding and signal reconstruction are implemented in the digital domain. Likewise, the authors in [191,192] proposed a synthesizable ADC inspired by a neural network. It uses the resistive random-access memory (RRAM) crossbar architecture in a dual-path configuration. The overall architecture of their ADC realizes a three-layer of general neural network hardware substrate, the input, hidden, and output layer. To overcome these challenges, the former SSDC α chip has emulated the acoustic localization by using the spike timing to represent the information. The implementation of a SAFE inspired by acoustic localization needs two stages sensor-to-spike converter (SSC), and spike-to-digital converter (SDC) [38]. In the recent chip, we pursue the design of SAFE based on robust adaptive spiking electronics inspired by acoustic localization.

4.1. Natural Sensory Systems Evidence

Organisms use the time difference of the signals reaching the ears, which invoke interaural time differences (ITDs) to determine the sound source. Jeffress presented the acoustic localization in 1948 [194]. Jeffreys’ theory is based on three basic assumptions: delay lines, coincidence detectors, and place map [195]. Acoustic localization is a clear adaptive spiking neural network (SNN) model, as shown in Figure 23a. We proposed SAFE with adaptation features based on the two-stage to emulate Jeffress’s model [194], as shown in Figure 23b. The first stage (SSC) converts the sensor signal into two spikes with ITD, and the ITD value changes with the sensor signal. The second stage, the self-adaptive spike-to-digital converter (SA-SDC), generates digital code depending on the ITD value. The SA-SDC has three fundamental assumptions of Jeffress’s theory. These are implemented by synapses weights, an array of adaptive coincidence detection and winner-take-all (WTA) with memory. The primary goal of this work is to implement the fundamental building block of SAFE, which is SA-SDC.

4.2. Proposed Self-Adaptive Spike-to-Digital Converter (SA-SDC)

The proposed SA-SDC has two parts, the self-adaptive spike-to-rank coding (SA-SRC) and winner-take-all (WTA) with memory, as shown in Figure 24. The first one generates spike orders that reflect the value of ITD. The second one is a digital circuit that converts those orders into respective digital codes. The proposed SA-SRC is implemented by sixteen adaptive coincidence detection (ACDs). The ACD has two adaptive synapses (AS) and one neuron (N). The adaptive synapse is based on the CMOS memristor, which emulates the long-term plasticity (LTP) and short-term plasticity (STP) of biological synapse [196].
Numerous neuron models are available in the literature [197]. The leaky integrate and fire (LIF) neuron model of Indiveri neuron model has components for modulating the neuron’s threshold voltage, spike frequency adaptation, setting an arbitrary refractory period, membrane capacitor, positive feedback, a digital inverter for pulse generation, and a transistor for controlling the current leakage [198]. The neuron properties required for the ACD are to perform the time delay of a neural network with an inverse relationship between the incoming charge magnitude and the time of the first spike. These are the essential characteristics that are available with any neural spiking model. Indiveri’s neuron model has been modified to meet the requirements of ACD, as shown in Figure 25. The proposed neuron has two variables and sixteen transistors; on the other side, the Indiveri model has four variables and twenty transistors. This results in a 20% reduction in power consumption, speed gain with the factor of eight, a 30% reduction in area, and an eight times higher rate of a spike compared to the Indivery model. During the SA-SRC design process, the transistor sizes of the neuron were initially found based on empirical results and observations from simulations. The final values are tuned again with the help of simulation to generate the spike order that works appropriately against the PVT corners, as enumerated in Table 3. The layouts of the proposed adaptive coincidence detection and self-adaptive spike-to-rank coding are shown in Figure 26 and Figure 27, respectively. The SA-SRC consumed a total area of 0.98 mm 2 with 4-bits resolutions, while the previous work SSDC α presented by our research group consumed 8.5 mm 2 with 8-bits resolutions.
We have built SAFE with two levels of adaptivity, as shown in Figure 24. The first one is at the level of ACD, and the second one is at the level of SA-SDC. The second adaptation level is responsible for adapting the variables vg1, vg2, V LEAK and V RFR , and it runs above the first level. For each modification in these variables; the first level participates in the adaptation process, and the second level waits until the first level completes the solution. If the solution corrects the synapse weight, the adaptation process ends; otherwise, the second level updates the variables (vg1, vg2, V LEAK , V RFR ) and turns on the adaptation for the next round, and so on. In the first level, the adaptation circuit is completely local and automatically adapts the synapses’ weight. Moreover, in the first level, the adaption works simultaneously for all ACD. Therefore, the number of the SA-SDC variables in the second level is equal to the variables of one ACD (vg1, vg2, V LEAK , and V RFR ).
The first level autonomous control circuit, as shown in Figure 28, uses the time of neuron fire to determine the weight of the synapse. It fundamentally relies on the fact that the timings of neuron fires depend on its input current, and the synapse’s weight controls this input current. Therefore, the synapse’s weight is proportional to the timing of the neuron’s firing. This first level of the autonomous circuit adapts the weight of the first synapse of all ACDs simultaneously by connecting the first synapse and disconnecting the second synapse of all ACDs. Similarly, the second synapse’s weight will be adapted.
The second adaptation level will explore optimization possibilities by using two different methods. Firstly, the population-based metaheuristic algorithms, more specifically, the ERPSO proposed recently by our research group. The SAFE output will serve as the cost or fitness function for the optimization unit. Meanwhile, the four variables (vg1, vg2, V LEAK , and V RFR ) will serve as tuning knobs for the optimization process to adjust the desired output of SAFE. The second approach will be based on supervised learning in which the pretrained regression model will be used to provide the new values of the four variables depending on the device’s operating conditions. Unfortunately, these possibilities are harder to perform using cadence simulations due to the simulation time demand of the transient simulations. On the other hand, verifications of these approaches can be evaluated on the fabricated prototyping chip in a reasonable time frame. The second adaptation level will be implemented on the field programmable gate array (FPGA) board.

4.3. The Experimental Results

Figure 29 shows the post-layout simulation of ACD representing the basics of three states of acoustic localization. These states depend on the ITD value between the input spikes, where the ITD value determines the timing of the output spike generation. In the case of a larger ITD value (above 200 ns in this design), the output spike will not be generated.
The proposed SA-SRC can generate up to sixteen different spike order codes that reflect the ITD values at its input. The value of ITD changes from −120 ns to 120 ns by the step size of 15 ns. It is equivalent to 4-bits in binary coding. The Figure 30 presents the post-layout simulation result of the SA-SRC under nominal operating conditions. For this test, two pulses are applied to the input of SA-SRC with different values of ITD. The SA-SRC generates different codes for every value of ITD. The outputs from out1 to out16 represent the one spike order code. In total, it has eight spike order codes. The numbers labelled on the output waveforms represent the spike order sequence with respect to the other outputs. The post-layout simulation of SA-SRC has been run under extreme process, voltage, and temperature (PVT) corners, as listed in Table 3. The capability of measuring ITD is increased by cascading more ACDs. The number of bits (NOB) can be calculated, as follows:
N O B = ln ( n u m b e r o f A C D s ) ln 2
The differential non-linearity (DNL), integral non-linearity (INL), and a number of missing codes (NOMC) parameters have been simulated under corner case number (5) in Table 3. Their values are 0.96 LSB, 4.5 LSB, and seven missing codes, respectively. However, these values are compensated by adapting the variables V LEAK , V RFR , vg1, and vg2, and running the automatic adaptation of the first level, as shown in corner number 5 in the table. The values of the parameters NOMC, DNL, and INL after adaptation are no missing code, 0.25 LSB and 0.44 LSB, respectively.

5. Chip and Demonstration Prototyping Board Design

The amplitude and spike domain AFEs circuits presented in Section 3 and Section 4 are integrated into a single prototyping chip. For the amplitude domain AFE, two separate reconfigurable fully-differential CFIA modules have been designed, as it can be observed in Figure 31. Each module has its own hot-swappable shift registers and PMM. The shift register has D in pin to shift the configuration data serially to the memory, W 0 , 1 for selecting the row to perform the writing operation, R 0 , 1 to select the corresponding row for data reading, D outDebug for debugging the written data, and finally En for enabling or disabling the register. The second CFIA module has an additional digital offset autozeroing scheme. This autozeroing can be performed autonomously or with the help of an optimization unit. In the next stage of AFE, the tunable anti-aliasing filter is integrated into the chip. In order to reduce the number of I/O chip pins, the register memory of the CFIA passes the data internally to the register memory of the filter. Three non-intrusive sensors, including the temperature sensor, are designed and integrated near the filter circuit to support the indirect measurement by monitoring the chip PVT conditions for the filter tuning. The output type of these sensors is in quasi-digital form. Hence, the digital processing unit, the red pitaya board in our prototype, makes it easy to demodulate the PVT information. Furthermore, the temperature sensor will also be used for the chip thermal monitoring.
The second main part of the chip is for the spike domain AFE implementation. We designed the essential SAFE blocks, neuron, synapse, ACD and SA-SRC, as shown in Figure 31. The neuron block has I/O pins and two controlling variables pins ( v rfr , v leak ) to characterize its basic operation on the physical hardware level. Likewise, the synapse cell has its basic I/O pins plus one controlling variable for adjusting the weight. The ACD cell includes the four variable voltages ( v leak , v rfr , v g 1 , v g 2 ) that will serve as a tuning knobs for the optimization algorithm. The Adapt output signal from the ACD becomes active after completing the unsupervised adaptation of the first level. Similarly, the SA-SRC cell has four variable voltages as tuning knobs, sixteen outputs pins for generating the spikes order codes, and Adapt for indicating the adaptation end.
The circuits were designed using the XFAB 0.35 μ m CMOS NWELL technology and Cadence design tools. The chip occupies a total area of 10.89 mm 2 with 100 input/output pads, as shown in Figure 32. The former USIX 1.0 and USIX 2.0 consumed a total area of 11.59 mm 2 and 18 mm 2 , respectively. These chips provide a complete solution for the sensor’s interface and deal with different mixed-signal cells in the readout circuit path, while the current work is focused on essential cells for both AFEX and SAFEX in a cost-effective prototyping chip. A short description of the individual chip cells is given in Table 4. During the layout design, matching with common-centroid interdigitation is followed for the analog cells. Four metal layers are used to complete the chip, where up to metal3 is used to layout and route the cells in the lower design hierarchy, and the thick metal4 is used to route the power rings in the highest hierarchy due to its high current density. Eleven pads are reserved for powering the chip to avoid the local IR drop on the supply rails and to avoid exceeding the driving capability of the single power pad. The substrate contacts are generously distributed between the cells to assure uniform bulk potential throughout the chip area and prevent latch-up problems. Every cell is supported with a power-down scheme to enable or disable the cell in standby mode for power energy saving. Moreover, it is necessary to keep the cells in standby mode while writing the first configuration pattern to guarantee safe operating conditions rather than passing unknown values from the SIPO memory, which might be physically harmful.
For the intrinsic evaluation of the proposed indirect measurement methods, the FPGA evaluation board from red pitaya is selected as an edge computing device for the demonstration prototyping. The architecture of our demonstration board is quite similar to the ATE proposed by the Synopses for an infield optimization process [176]. The digital signal synthesizer (DSS) provided by the Xilinx Vivado IP blocks will be used to generate the desired sinusoidal and pulse test stimuli signals for the THD-based measurements. Similarly, RF ADCs available on the red pitaya board will be used to sample the output response of the DUT. The discrete Fourier transform (DFT) IP block from Xilinx Vivado shall be used to perform the THD measurement. Since the outputs of the PPM and the non-intrusive sensors are quasi-digital, these can be directly interfaced to the programmable logic fabric of red pitaya via general-purpose digital input output pins. The ERPSO algorithm is being implemented on the FPGA by using Verilog hardware descriptive language. Additionally, a parallel-in-serial module is also designed at the output of ERPSO to transfer the parallel configuration bits generated by the ERPSO to the SIPO units of the DUT. The control module is responsible for supervising the infield optimization process, and to perform the data collection and processing. In order to perform the intrinsic robust optimization for the observer imperfections, the control module will pass the output of the ADCs to the shared random access memory (RAM) of the programmable logic (PL) and processing subsystems (PS) available on red pitaya. The concept of robust optimization using the GPR and archive-based will be performed on the PS. After performing the robust optimization, the result will be passed back to the PL, where ERPSO will take its following action based on the cost function of the current solution. In the case of optimization for block cells, 4 to 8 shown in Table 4, all outputs of the DUT are digital. Therefore, those outputs can be interfaced directly to the general purpose I/O pins of the FPGA board. Moreover, the WTA module will be implemented by Verilog language instead of the DFT IP block in Figure 33. Furthermore, the DACs available on the red pitaya board will be used to control the four voltages variables (vg1, vg2, VLEAK, VRFR) for the adaptation purpose explained in the previous section.
Due to the current global chip shortage issue, the chip delivery is delayed; hence, the test result is not yet available. The red pitaya-based demonstration board is prepared for the chip evaluation, as shown in Figure 34a. To replace the breadboard, the PCB design is completed to attach the chip, which shall be housed using a chip zip socket provided by 3M incorporation [199] for the CPGA100 package type as shown in Figure 34b. An appropriate PCB with textool socket will extend the Red Pitaya system to complete the demonstration board, which will be used to explore the following possibilities on our prototyping chip:
  • Investigation of the intrinsic optimization of our InAmp to retrieve extrinsic results for the manufactured instance.
  • Applying the concept of robust optimization (archive-based and surrogate-based) for addressing the observer imperfections issues.
  • Exploration of the LPF indirect performance optimization by using non-intrusive PVT sensors.
  • Characterizing the basic operation of the neuron and synapse on the physical hardware level.
  • Exploring the supervised and unsupervised optimization possibilities for the ACD and the SA-SRC.

6. Conclusions

The interface to the real-world by sensors and suitable sensor electronics is of paramount importance for both conventional information processing and computing systems as well as innovative computing systems, e.g., following bio-inspiration from nervous systems or neural networks. The ongoing remarkable rapid advance in integration technologies is giving leverage both to computing systems as well as to an increasing diversity of sensors and sensor systems. Thus, both genericness and robustness as well as efficiency in the interfacing of sensors under the constraints of metrology is required.
The work reported in this paper targets on providing generic, sufficiently accurate, and robust analog front-ends (AFE) for general and intelligent (AI) application systems. Aspired robustness and flexibility are added by self-X (or self-*) properties to AFEX units as the lowest level of a self-X-hierarchy in an advanced application system design. This is pursued in two approaches, the first follows conventional amplitude-domain information representation and processing. The focus in this part of the work is on improvements of circuit assessment and observer robustness, as well as the employed supervised optimization techniques and the finding of the minimum of most sensitive and useful tuning knobs. The second follows pulse or spike domain information representation, largely inspired by nervous systems and neural networks, and introduces the concept of Spiking AFE with self-X properties (SAFEX) by employing both unsupervised neural adaptation and supervised adaptation of system parameter as in the first conventional approach. This is exemplified for the case of an adaptive spike-to-digital converter.
The concepts presented in this work, have been embodied in cells designed in XFAB 0.35 μ m xh035 CMOS technology and carefully validated. Extrinsic optimization runs have been carried out, confirming the chosen approach. Essential cells for both AFEX and SAFEX have been combined in a cost-effective prototype chip. For AFEX, two fully differential CFIAs (internal and external offset calibration) and an anti-aliasing filter including the biasing circuits are implemented. It also incorporates the design of on-chip non-intrusive sensors for PVT monitoring to enable cost-effective indirect measurement. A memory size of 66 bytes is designed using SIPO registers to support hot-swappable programming for the in situ configuration/calibration of the AFEX cells. For SAFEX, we implemented the 4-bits SA-SRC to perform the main functionality of the signal conditioning in the spike domain. Furthermore, the three basic cells of the SA-SRC, i.e., neuron, synapse, and ACD are integrated on the chip to examine their characterization independently. The total chip area is 10.89 mm 2 consisting of 62,921 transistors and 100 pads. The pad frame is designed to fit the chip to the CPGA100 package with 61 digital I/O pads, 28 analog pads and 11 power pads. The chip sample is submitted for handling and ensuing manufacturing, but unfortunately will be returning with three months delay in about October. A demonstrator system, including intrinsic optimization of the AFEX and SAFEX components, has been prepared. All extrinsic activities are scheduled to be repeated in the intrinsic mode with one or several chip instances and under varying environmental conditions.
In future work, depending on the test result analysis, after possible redesign to cells, following USIX 1 and 2, a complete USIX 4.0 chip is aspired for the conventional amplitude domain representation with the new concepts and cells. For the SAFEX, as a mid to long term research activity with the potential to be technology agnostic, a complete adaptive sensor-to-spike and spike-to-digital design will be pursued for the selected sensor(s). Extensions to complete generic as well as robust and adaptive SAFEX will be pursued in ongoing and following research.

Author Contributions

Conceptualization, A.K.; methodology, A.K., H.A., S.A. and Q.Z.; software, Q.Z., H.A. and S.A.; validation, Q.Z., H.A. and S.A.; formal analysis, S.A., H.A. and Q.Z.; investigation, H.A., Q.Z. and S.A.; data curation, H.A., Q.Z. and S.A.; writing—original draft preparation, A.K., S.A., Q.Z. and H.A.; writing—review and editing, A.K.; visualization, Q.Z., H.A. and S.A.; supervision, A.K. and Q.Z.; project administration, A.K. All authors have read and agreed to the published version of the manuscript.

Funding

The funding of the named USIX 2 chip by the BMBF (German Federal Ministry of research), program: SElekt_I40, consortial project: MoSeS-Pro, subproject: ‘Robuste adaptive integrierte Sensorelektronik und Informationsverarbeitung mit Self-X-Eigenschaften für zuverlässige Systeme der Industrie 4.0’, grant no. 16ES0425 is gratefully acknowledged.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Acknowledgments

The authors would like to thank the DAAD (Deutscher Akademischer Austauschdienst) for sponsoring the PhD researchers. We would also thank EUROPRACTICE for their support in providing design tools and MPW fabrication services for our prototype chip and research activity.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
AFEAnalog-front-ends
USIXUniversal-Sensor-Interface-with-self-X-properties
AFEXAnalog-front-ends with self-X properties
SAFEXSpiking-analog-front-ends with self-X properties
CISSCognitive integrated sensory systems
SLMSilicon Lifecycle Management
EHWEvolvable hardware
PSOParticle swarm optimization
ATEAutomatic test equipment
CFIACurrent-feedback in-amp
SIPOSerial-in, parallel-out register
PPMPower monitoring module
MHOAsMeta-heuristic optimization algorithms
ERPSOExperience replay particle swarm optimization
GPRGaussian process regression
OIsObserver Imperfections
SSDC α Sensor to spike to digital converter
SSCSensor-to-spike converter
SDCSpike-to-digital converter
ITDsInteraural time differences
SA-SDCAdaptive spike-to-digital converter
SA-SRCSelf-adaptive spike-to-rank coding
ACDAdaptive coincidence detection
LIFLeaky integrate and fire

References

  1. IEEE. International Roadmap for Devices and Systems—More Moore. Available online: https://irds.ieee.org/images/files/pdf/2020/2020IRDS_MM.pdf (accessed on 11 March 2011).
  2. IEEE. International Roadmap for Devices and Systems—More than Moore. Available online: https://irds.ieee.org/images/files/pdf/2020/2020IRDS_MtM.pdf (accessed on 11 March 2011).
  3. Werthschützky, R. Sensor Technologien 2022; Technical Report; AMA Verband für Sensorik und Messtechnik e.V.: Göttingen, Germany, 2018. [Google Scholar]
  4. Goldstein, B.; Hendricks, J. NIST on a Chip. Available online: https://www.nist.gov/noac (accessed on 27 May 2022).
  5. Kagermann, H.; Lukas, W.; Wahlster, W. Industrie 4.0: Mit dem Internet der Dinge auf dem Weg zur 4. Industriellen Revolution; Technical Report 13; VDI Nachrichten: Heidelberg, Germany, 2011. [Google Scholar]
  6. Zhang, W.; Peng, G.; Li, C.; Chen, Y.; Zhang, Z. A New Deep Learning Model for Fault Diagnosis with Good Anti-Noise and Domain Adaptation Ability on Raw Vibration Signals. Sensors 2017, 17, 425. [Google Scholar] [CrossRef] [PubMed]
  7. Davies, M.; Srinivasa, N.; Lin, T.; Chinya, G.; Cao, Y.; Choday, S.H.; Dimou, G.; Joshi, P.; Imam, N.; Jain, S.; et al. Loihi: A Neuromorphic Manycore Processor with On-Chip Learning. IEEE Micro 2018, 38, 82–99. [Google Scholar] [CrossRef]
  8. DeBole, M.V.; Taba, B.; Amir, A.; Akopyan, F.; Andreopoulos, A.; Risk, W.P.; Kusnitz, J.; Otero, C.O.; Nayak, T.K.; Appuswamy, R.; et al. TrueNorth: Accelerating From Zero to 64 Million Neurons in 10 Years. Computer 2019, 52, 20–29. [Google Scholar] [CrossRef]
  9. BrainChip. Akida Neural Processor IP. Available online: https:brainchipinc.com/akida-neural-processor-ip/ (accessed on 28 September 2021).
  10. Moore, S.K. Supersize AI: Cerebra’s silicon-wafer-size chip boasts 2.6 trillion transistors. IEEE Spectr. 2021, 58, 12–13. [Google Scholar] [CrossRef]
  11. König, A.; Eberhardt, M.; Wenzel, R. QuickCog Self-Learning Recognition System—Exploiting machine learning techniques for transparent and fast industrial recognition system design. Image Process. Eur. 1999, 10–19. [Google Scholar]
  12. Thongpull, K.; König, A. Advance and case studies of the DAICOX framework for automated design of multi-sensor intelligent measurement systems. Tech. Mess. 2016, 83, 234–243. [Google Scholar] [CrossRef]
  13. Peters, S.; König, A. Optimized texture operators for the automated design of image analysis systems: Non-linear and oriented kernels vs. gray value co-occurrence matrices. Int. J. Hybrid Intell. Syst. 2007, 4, 185–202. [Google Scholar] [CrossRef]
  14. Eberhardt, M.; Roth, S.; König, A. Industrial Application of Machine-in-the-Loop-Learning for a Medical Robot Vision System—Concept and Comprehensive Field Study. Comput. Electr. Eng. (CEE) 2008, 34, 111–126. [Google Scholar] [CrossRef]
  15. Iswandy, K.; König, A. Methodology, Algorithms, and Emerging Tool for Automated Design of Intelligent Integrated Multi-Sensor Systems. J. Algorithms 2009, 8, 1368–1409. [Google Scholar] [CrossRef]
  16. MUNEDA. WiCkeD EDA Tools for Process Migration, Sizing, and Verification of Custom IC. Available online: https:www.muneda.com/ (accessed on 27 May 2022).
  17. Brooks, S.; Roy, R. An overview of self-engineering systems. J. Eng. Des. 2021, 32, 397–447. [Google Scholar] [CrossRef]
  18. Sapozhnikova, K.; Baksheeva, I.; Taymanov, R. Features and experience of metrological self-check organisation in multichannel measuring system. In Proceedings of the 2020 XXX International Scientific Symposium Metrology and Metrology Assurance (MMA), Sozopol, Bulgaria, 7–11 September 2020; pp. 1–5. [Google Scholar] [CrossRef]
  19. König, A. Integrated Sensor Electronics with Self-X Capabilities for Advanced Sensory Systems as a Baseline for Industry 4. In 0. In Proceedings of the Sensors and Measuring Systems, 19th ITG/GMA-Symposium, Nuremberg, Germany, 26–27 June 2018; pp. 1–4. [Google Scholar]
  20. König, A. Self-X Sensory Systems: Robust Information Representation and Processing in Adaptive Architecture. In Proceedings of the Invited Talk, 10. VDI-Fachtagung Messunsicherheit Praxisgerecht Bestimmen—Prüfprozesse in der Industriellen Praxis, Der Weg zum Sicheren Messen und Prüfen, Erfurt, Germany, 10–11 November 2021; VDI-Berichte: Dusseldorf, Germany, 2021; pp. 247–251. [Google Scholar]
  21. In-Chip Monitoring and Sensing. Available online: https://www.synopsys.com/solutions/silicon-lifecycle-management/in-chip-monitoring-and-sensing.html (accessed on 19 May 2022).
  22. Kashyap, R. Silicon lifecycle management (SLM) with in-chip monitoring. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–4. [Google Scholar] [CrossRef]
  23. Silicon Lifecycle Management: Actionable Silicon Insights Through Intelligent Measurement and Analysis. Available online: https://www.synopsys.com/solutions/silicon-lifecycle-management.html (accessed on 19 May 2022).
  24. Synopsys Expansion of Silicon Lifecycle Management Platform. Available online: https://www.eetasia.com/synopsys-acquires-moortec-to-expand-silicon-lifecycle-management-platform/ (accessed on 19 May 2022).
  25. Stoica, A. Evolvable hardware: From on-chip circuit synthesis to evolvable space systems. In Proceedings of the 30th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2000), Portland, OR, USA, 25 May 2000; pp. 161–169. [Google Scholar] [CrossRef]
  26. Johar, M.A.; Freier, R.; König, A. Adding self-x capabilities to AMR sensors as a first step towards dependable embedded systems. In Proceedings of the 2011 Ninth International Workshop on Intelligent Solutions in Embedded Systems, Regensburg, Germany, 7–8 July 2011; pp. 41–46. [Google Scholar]
  27. Kammara, A.C.; König, A.; Graef, T.; Chinazzo, A.; Dobariya, C.; Casper, F.; Paul, J.; Glenske, C.; Traute, J. Co-Design of MR Sensor and Sensor Electronics for Self-X Integrated Sensory Systems. In Proceedings of the Sensors and Measuring Systems, 19th ITG/GMA-Symposium, Nuremberg, Germany, 26–27 June 2018; pp. 1–4. [Google Scholar]
  28. Tawdross, P.; König, A. Mixtrinsic Multi-Objective Reconfiguration of Evolvable Sensor Electronics. In Proceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007), Edinburgh, UK, 5–8 August 2007; pp. 51–57. [Google Scholar]
  29. Okamoto, T.; Ishida, Y. An Immunity-Based Anomaly Detection System with Sensor Agents. Sensors 2009, 9, 9175–9195. [Google Scholar] [CrossRef]
  30. Tokumitsu, M.; Hasegawa, K.; Ishida, Y. Resilient Sensor Networks with Spatiotemporal Interpolation of Missing Sensors: An Example of Space Weather Forecasting by Multiple Satellites. Sensors 2016, 16, 548. [Google Scholar] [CrossRef] [PubMed]
  31. Hong, L. Artificial Immune System for Anomaly Detection. In Proceedings of the 2008 IEEE International Symposium on Knowledge Acquisition and Modeling Workshop, Wuhan, China, 21–22 December 2008; pp. 340–343. [Google Scholar] [CrossRef]
  32. Zuccolotto, M. Artificial Immune Intelligent Maintenance System. In Proceedings of the 2014 12th IEEE International Conference on Industrial Informatics (INDIN), Porto Alegre, Brazil, 27–30 July 2014; pp. 795–798. [Google Scholar] [CrossRef]
  33. Alizadeh, E.; Meskin, N.; Khorasani, K. A sensor fault detection and isolation strategy by using a Dendritic Cell Algorithm. In Proceedings of the 2016 IEEE International Conference on Systems, Man and Cybernetics (SMC), Budapest, Hungary, 9–12 October 2016; pp. 001171–001177. [Google Scholar] [CrossRef]
  34. Döge, J.; Schonfelder, G.; Streil, G.T.; König, A. An HDR CMOS image sensor with spiking pixels, pixel-level ADC, and linear characteristics. IEEE Trans. Circuits Syst. II Analog. Digit. Signal Process. 2002, 49, 155–158. [Google Scholar] [CrossRef]
  35. Lichtsteiner, P.; Posch, C.; Delbruck, T. A 128 × 128 120 dB 15 μs Latency Asynchronous Temporal Contrast Vision Sensor. IEEE J. Solid-State Circuits 2008, 43, 566–576. [Google Scholar] [CrossRef]
  36. AMS. Compact AMS Time-to-Digital Converter Provides High Precision in Optical Ranging and 3D Scanning. Available online: https://ams-osram.com/news/press-releases/compact-ams-time-to-digital-converter-provides-high-precision-in-optical-ranging-and-3d-scanning (accessed on 27 May 2022).
  37. Mayr, C.; Partzsch, J.; Noack, M.; Schüffny, R. Configurable Analog-Digital Conversion Using the Neural Engineering Framework. Front. Neurosci. 2014, 8, 201. [Google Scholar] [CrossRef] [PubMed]
  38. Kammara, A.; König, A. SSDCα—Inherently robust integrated biomimetic sensor-to-spike-to-digital converter based on peripheral neural ensembles. Tech. Mess. 2016, 83, 531–542. [Google Scholar] [CrossRef]
  39. The 6X The New Radar Level Sensor. Available online: https://www.vega.com/en-de/radar (accessed on 19 May 2022).
  40. Lee, E.K.; Gulak, P.G. A CMOS field-programmable analog array. IEEE J. Solid-State Circuits 1991, 26, 1860–1867. [Google Scholar] [CrossRef]
  41. Lee, E.K.; Gulak, P.G. A transconductor-based field-programmable analog array. In Proceedings of the ISSCC’95-International Solid-State Circuits Conference, San Francisco, CA, USA, 15–17 February 1995; IEEE: Piscataway, NJ, USA, 1995; pp. 198–199. [Google Scholar]
  42. Stoica, A. Toward evolvable hardware chips: Experiments with a programmable transistor array. In Proceedings of the Seventh International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems, Washington, DC, USA, 7–9 April 1999; IEEE: Piscataway, NJ, USA, 1999; pp. 156–162. [Google Scholar]
  43. Stoica, A.; Keymeulen, D.; Tawel, R.; Salazar-Lazaro, C.; Li, W.T. Evolutionary experiments with a fine-grained reconfigurable architecture for analog and digital CMOS circuits. In Proceedings of the First NASA/DoD Workshop on Evolvable Hardware, Pasadena, CA, USA, 19–21 July 1999; IEEE: Piscataway, NJ, USA, 1999; pp. 76–84. [Google Scholar]
  44. Stoica, A.; Zebulum, R.; Keymeulen, D. Progress and challenges in building evolvable devices. In Proceedings of the Third NASA/DoD Workshop on Evolvable Hardware—EH-2001, Long Beach, CA, USA, 12–14 July 2001; IEEE: Piscataway, NJ, USA, 2001; pp. 33–35. [Google Scholar]
  45. Langeheine, J.; Fölling, S.; Meier, K.; Schemmel, J. Towards a silicon primordial soup: A fast approach to hardware evolution with a VLSI transistor array. In Proceedings of the International Conference on Evolvable Systems, Edinburgh, UK, 17–19 April 2000; Springer: New York, NY, USA, 2000; pp. 123–132. [Google Scholar]
  46. Langeheine, J.; Becker, J.; Fölling, S.; Meier, K.; Schemmel, J. Initial studies of a new VLSI field programmable transistor array. In Proceedings of the International Conference on Evolvable Systems, Tokyo, Japan, 3–5 October 2001; Springer: New York, NY, USA, 2001; pp. 62–73. [Google Scholar]
  47. Higuchi, T.; Iwata, M.; Keymeulen, D.; Sakanashi, H.; Murakawa, M.; Kajitani, I.; Takahashi, E.; Toda, K.; Salami, N.; Kajihara, N.; et al. Real-world applications of analog and digital evolvable hardware. IEEE Trans. Evol. Comput. 1999, 3, 220–235. [Google Scholar] [CrossRef]
  48. Lakshmanan, S.; König, A. True Front-to-Back Analogue IC Designers’ Training. Int. J. Electr. Eng. Educ. 2010, 47, 277–292. [Google Scholar] [CrossRef]
  49. De Garis, H. ; Electrotechnical Lab Tsukuba. Genetic Programming-Evolutionary Approaches to Multistrategy Learning; Michalski, R.S., Tecuci, G., Eds.; Citeseer: Princeton, NJ, USA, 1994; Volume 4. [Google Scholar]
  50. Tanaka, H.; Niwa, T.; Tanaka, T.; Iba, H.; de Garis, H.; Furuya, T. Evolving hardware with genetic learning: A first step towards building a Darwin machine. In Proceedings of the 2nd International Conference on Simulated Adaptive Behaviour; MIT Press: Cambridge, MA, USA, 1993; Volume 2, p. 417. [Google Scholar]
  51. Thompson, A. Evolving electronic robot controllers that exploit hardware resources. In Proceedings of the European Conference on Artificial Life, Granada, Spain, 4–6 June 1995; Springer: New York, NY, USA; pp. 640–656. [Google Scholar]
  52. Sipper, M.; Sanchez, E.; Mange, D.; Tomassini, M.; Pérez-Uribe, A.; Stauffer, A. A phylogenetic, ontogenetic, and epigenetic view of bio-inspired hardware systems. IEEE Trans. Evol. Comput. 1997, 1, 83–97. [Google Scholar] [CrossRef]
  53. Thompson, A. Silicon evolution. In Proceedings of the 1st Annual Conference on Genetic Programming, Stanford, CA, USA, 28–31 July 1996; pp. 444–452. [Google Scholar]
  54. Yao, X.; Higuchi, T. Promises and challenges of evolvable hardware. IEEE Trans. Syst. Man Cybern. Part C 1999, 29, 87–97. [Google Scholar] [CrossRef]
  55. Kajitani, I.; Hoshino, T.; Nishikawa, D.; Yokoi, H.; Nakaya, S.; Yamauchi, T.; Inuo, T.; Kajihara, N.; Iwata, M.; Keymeulen, D.; et al. A gate-level EHW chip: Implementing GA operations and reconfigurable hardware on a single LSI. In Proceedings of the International Conference on Evolvable Systems, Lausanne, Switzerland, 23–25 September 1998; Springer: New York, NY, USA, 1998; pp. 1–12. [Google Scholar]
  56. Kajitani, I.; Hoshino, T.; Iwata, M.; Higuchi, T. Variable length chromosome GA for evolvable hardware. In Proceedings of the IEEE International Conference on Evolutionary Computation, Nagoya, Japan, 20–22 May 1996; IEEE: Piscataway, NJ, USA, 1996; pp. 443–447. [Google Scholar]
  57. De Garis, H. Genetic programming artificial nervous systems artificial embryos and embryological electronics. In Proceedings of the International Conference on Parallel Problem Solving from Nature, Dortmund, Germany, 1–3 October 1990; Springer: New York, NY, USA, 1990; pp. 117–123. [Google Scholar]
  58. de Garis, H. Evolvable hardware genetic programming of a Darwin machine. In Artificial Neural Nets and Genetic Algorithms; Springer: New York, NY, USA, 1993; pp. 441–449. [Google Scholar]
  59. Henson, B.; Walker, J.A.; Trefzer, M.A.; Tyrrell, A.M. Designing digital systems using Cartesian Genetic Programming and VHDL. In Inspired by Nature; Springer: New York, NY, USA, 2018; pp. 57–86. [Google Scholar]
  60. Hereford, J.; Pruitt, C. Robust sensor systems using evolvable hardware. In Proceedings of the 2004 NASA/DoD Conference on Evolvable Hardware, Seattle, WA, USA, 26 June 2004; IEEE: Piscataway, NJ, USA, 2004; pp. 161–168. [Google Scholar]
  61. Hereford, J.M. Fault-tolerant sensor systems using evolvable hardware. IEEE Trans. Instrum. Meas. 2006, 55, 846–853. [Google Scholar] [CrossRef]
  62. Stoica, A.; Keymeulen, D.; Zebulum, R.; Thakoor, A.; Daud, T.; Klimeck, Y.; Tawel, R.; Duong, V. Evolution of analog circuits on field programmable transistor arrays. In Proceedings of the Second NASA/DoD Workshop on Evolvable Hardware, Palo Alto, CA, USA, 13–15 July 2000; IEEE: Piscataway, NJ, USA, 2000; pp. 99–108. [Google Scholar]
  63. Keymeulen, D.; Ferguson, M.I.; Breuer, L.; Fink, W.; Oks, B.; Peay, C.; Terrile, R.; Kim, D.; MacDonald, E.; Foor, D.; et al. Hardware Platforms for Electrostatic Tuning of Mems Gyroscope Using Nature-Inspired Computation. In Evolvable Hardware; Springer: New York, NY, USA, 2006; pp. 209–222. [Google Scholar]
  64. Schemmel, J.; Meier, K.; Schürmann, F. A VLSI implementation of an analog neural network suited for genetic algorithms. In Proceedings of the International Conference on Evolvable Systems, Tokyo, Japan, 3–5 October 2001; Springer: New York, NY, USA, 2001; pp. 50–61. [Google Scholar]
  65. Lakshmanan, S.; Tawdross, P.; König, A. Towards Generic On-the-Fly Reconfigurable Sensor Electronics for Embedded System—First Measurement Results of Reconfigurable Folded Cascode Amplifier Building Block. In Proceedings of the 20th International Conference on VLSI Design, Bangalore, India, 6–10 January 2007. [Google Scholar]
  66. König, A.; Lakshmanan, S.; Tawdross, P. Concept and First Evaluation of Dynamically Reconfigurable Sensor Electronics. In Proceedings of the 2007 13th International Conference on Sensor Conference, Valencia, Spain, 14–20 October 2007; pp. 277–282. [Google Scholar]
  67. DigiTrim™ Technology. Available online: https://www.analog.com/en/design-center/landing-pages/001/digitrim-technology.html (accessed on 14 April 2021).
  68. Semtech. “SX8725 ZoomingADC™ for Pressure and Temperature Sensing”, Data Sheet; Semtech: Camarillo, CA, USA, 2009. [Google Scholar]
  69. Analog Devices. “AD8556 Digitally Programmable Sensor Signal Amplifier with EMI Filters”, Data Sheet; Analog Devices: Norwood, MA, USA, 2005. [Google Scholar]
  70. Analog Devices. “AD8250 Programmable Gain Instrumentation Amplifier”, Data Sheet; Analog Devices: Norwood, MA, USA, 2007. [Google Scholar]
  71. Analog Devices. “AD8555 Zero-Drift, Digitally Programmable Sensor Signal Amplifier”, Data Sheet; Analog Devices: Norwood, MA, USA, 2004. [Google Scholar]
  72. Analog Devices. “AD7798 3-Channel, Low Noise, Low Power, 16-/24-Bit, Sigma Delta ADC with On-Chip In-Amp”, Data Sheet; Analog Devices: Norwood, MA, USA, 2005. [Google Scholar]
  73. Texas Instrument. “PGA309 Voltage Output Programmable Sensor Conditioner”, Data Sheet; Texas Instruments: Dallas, TX, USA, 2003. [Google Scholar]
  74. Microchip. “MCP6N11 500 kHz, 800 μA Instrumentation Amplifier”, Data Sheet; Microchip: Chandler, AZ, USA, 2011. [Google Scholar]
  75. EPAD—Electrically Programmable Analog Devices. Available online: https://www.aldinc.com/ald_epad.php (accessed on 14 April 2021).
  76. Melexis. “MLX90308 Programmable Sensor Interface”, Data Sheet; Melexis: Camarillo, CA, USA, 2012. [Google Scholar]
  77. Renesas. “ZSC31150 Fast Automotive Sensor Signal Conditioner”, Data Sheet; Renesas: Santa Clara, CA, USA, 2016. [Google Scholar]
  78. Smartec, B.V. “Universal Transducer Interface (UTI)”, Data Sheet; Smartec B.V.: Seoul, Korea, 2016. [Google Scholar]
  79. Anadigm. “AN120E04 Reconfigurable FPAA”, Data Sheet; Anadigm: Crewe, UK, 2003. [Google Scholar]
  80. Zetex. “TRAC020 Totally Reconfigurable Analog Hardware”, Data Sheet; Zetex: Oldham, UK, 1999. [Google Scholar]
  81. Motorola. “MPAA020 Field Programmable Analog Array”, Data Sheet; Motorola: Schaumburg, IL, USA, 1997. [Google Scholar]
  82. Lattice. “ispPAC30 In-System Programmable Analog Circuit”, Data Sheet; Lattice: Sunset Beach, CA, USA, 2002. [Google Scholar]
  83. IMP. “IMP50E10 Electrically Programmable Analog Circuit”, Data Sheet; IMP: Vienna, Austria, 1995. [Google Scholar]
  84. Cypress Microsystems. “SX8725 PSoC Mixed Signal Array”, Data Sheet; Cypress Microsystems, Inc.: Lynnwood, WA, USA, 2004. [Google Scholar]
  85. Papathanasiou, K.; Hamilton, A. Novel Palmo analogue signal processing IC design techniques. In Proceedings of the IEE Third One-Day Colloquium on Analog Signal Processing, Oxford, UK, 20 November 1996. [Google Scholar]
  86. Henrici, F.; Becker, J.; Manoli, Y. Simulation eines rekonfigurierbaren G m-C filter arrays. Adv. Radio Sci. 2007, 5, 341–345. [Google Scholar] [CrossRef]
  87. Kampe, J.; Ponca, M.; Heiber, U.; Rummler, A.; Wisser, C. Elektrisch-Programmierbares Analoges Array. In Proceedings of the EMC 2004: 20th European Mask Conference on Mask Technology for Integrated Circuits and Micro-Components, Lectures Held at the GMM Conference, Dresden, Germany, 12–14 January 2004; VDE Verlag Gmbh: Berlin, Germany, 2004; p. 145. [Google Scholar]
  88. Hall, T.S.; Hasler, P.; Anderson, D.V. Field-Programmable Analog Arrays: A Floating—Gate Approach. In Proceedings of the Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream, Montpellier, France, 2–4 September 2002; Glesner, M., Zipf, P., Renovell, M., Eds.; Springer: Berlin/Heidelberg, Germany, 2002; pp. 424–433. [Google Scholar]
  89. George, S.; Kim, S.; Shah, S.; Hasler, J.; Collins, M.; Adil, F.; Wunderlich, R.; Nease, S.; Ramakrishnan, S. A programmable and configurable mixed-mode FPAA SoC. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 2253–2261. [Google Scholar] [CrossRef]
  90. Becker, J.; Henrici, F.; Trendelenburg, S.; Ortmanns, M.; Manoli, Y. A Continuous-Time Hexagonal Field-Programmable Analog Array in 0. In 13 μm CMOS with 186MHz GBW. In Proceedings of the 2008 IEEE International Solid-State Circuits Conference—Digest of Technical Papers, San Francisco, CA, USA, 3–7 February 2008; IEEE: Piscataway, NJ, USA, 2008; pp. 570–596. [Google Scholar]
  91. Layzell, P. The ‘Evolvable Motherboard’: A Test Platform for the Research of Intrinsic Hardware Evolution; University of Sussex: Brighton, UK, 1998. [Google Scholar]
  92. Santini, C.C.; Zebulum, R.; Pacheco, M.A.C.; Vellasco, M.M.R.; Szwarcman, M.H. PAMA-Programmable analog multiplexer array. In Proceedings of the Third NASA/DoD Workshop on Evolvable Hardware—EH-2001, Long Beach, CA, USA, 12–14 July 2001; IEEE: Piscataway, NJ, USA, 2001; pp. 36–43. [Google Scholar]
  93. Reiser, C.; Znamirowski, L.; Palusinski, O.A.; Vrudhula, S.B.; Rakhmatov, D. Dynamically reconfigurable analog/digital hardware-implementation using FPGA and FPAA technologies. J. Circuits Syst. Comput. 1998, in press. [Google Scholar]
  94. Pankiewicz, B.; Wojcikowski, M.; Szczepanski, S.; Sun, Y. A field programmable analog array for CMOS continuous-time OTA-C filter applications. IEEE J. Solid-State Circuits 2002, 37, 125–136. [Google Scholar] [CrossRef]
  95. Becker, J.; Henrici, F.; Trendelenburg, S.; Ortmanns, M.; Manoli, Y. A field-programmable analog array of 55 digitally tunable OTAs in a hexagonal lattice. IEEE J. Solid-State Circuits 2008, 43, 2759–2768. [Google Scholar] [CrossRef]
  96. Texas Instrument. “LMP90xxx LMP90100 and LMP9009x Sensor AFE System: Multichannel, Low-Power, 24-Bit Sensor AFE with True Continuous Background Calibration”, Data Sheet; Texas Instruments: Dallas, TX, USA, 2013. [Google Scholar]
  97. Fraunhofer Institute Integrated Circuits and Systems (IIS). “AFE13b010kS180nm Analog Front End (AFE) Ultra-Low Power 6–13 Bit 0.5–10 kS/s 10 μW”, Data Sheet; IIS: Erlangen, Germany, 2020. [Google Scholar]
  98. Moayer, M.M.; Salomaa, J.; Halonen, K.A. A 0.39–3.56-μW Wide-Dynamic-Range Universal Multi-Sensor Interface Circuit. IEEE Sens. J. 2020, 20, 12262–12273. [Google Scholar] [CrossRef]
  99. Mackensen, E.; Muller, C. Implementation of reconfigurable micro-sensor interfaces utilizing FPAAs. In Proceedings of the 2005 IEEE SENSORS, Irvine, CA, USA, 30 October–3 November 2005; IEEE: Piscataway, NJ, USA, 2005; pp. 1064–1067. [Google Scholar]
  100. NJU9103: Ultra-Precise Analog Front End from NJR at Rutronik. Available online: https://www.rutronik.com/article/detail/News/ultra-precise-analog-front-end-from-njr-at-rutronik/ (accessed on 19 May 2022).
  101. ZMDI Sensor Signal Conditioning. Available online: https://www.mouser.ie/pdfDocs/ZMDI_Sensor_Signal_Conditioning_Brochure.pdf?origin=new (accessed on 19 May 2022).
  102. Rivera-Mejia, J.; Carrillo-Romero, M.; Herrera-Ruiz, G. Self-compensation to build reconfigurable measurement systems. IEEE Instrum. Meas. Mag. 2013, 16, 10–19. [Google Scholar] [CrossRef]
  103. Rivera, J.; Herrera, G.; Chacón, M.; Acosta, P.; Carrillo, M. Improved progressive polynomial algorithm for self-adjustment and optimal response in intelligent sensors. Sensors 2008, 8, 7410–7427. [Google Scholar] [CrossRef] [PubMed]
  104. Architecture for Scalable, Self-*, Human-Centric, Intelligent, Secure, and Tactile Next Generation IoT. Available online: https://cordis.europa.eu/project/id/957258 (accessed on 14 April 2021).
  105. Lee, S.; Shi, C.; Wang, J.; Sanabria, A.; Osman, H.; Hu, J.; Sánchez-Sinencio, E. A built-in self-test and in situ analog circuit optimization platform. IEEE Trans. Circuits Syst. I Regul. Pap. 2018, 65, 3445–3458. [Google Scholar] [CrossRef]
  106. Freier, R. Ein Universelles und Dynamisch Rekonfigurierbares Interface für Eingebettete und Intelligente Multi-Sensor-Systeme mit Self-x Eigenschaften. Ph.D. Thesis, Technische Universität Kaiserslautern, Kaiserslautern, Germany, 2015. [Google Scholar]
  107. Freier, R.; König, A. D6.1-Universal and Dynamically Reconfigurable Sensor Interface in Lab-on-Spoon Multi-Sensor System. In Proceedings of the AMA—SENSOR 2015 17th International Conference on Sensors and Measurement Technology, AMA Service GmbH, Nuremberg, Germany, 19–21 May 2015; pp. 606–611. [Google Scholar]
  108. Renner, A.; Minghan, L.; König, A. Architecture and Cell Design of the IISIC CMOS-Chip serving as a Front-End for Integrated Impedance Spectroscopy. In Proceedings of the Sensors and Measuring Systems 2014—17. ITG/GMA Symposium, Nuremberg, Germany, 3–4 June 2014; VDE: Berlin, Germany, 2014; pp. 1–6. [Google Scholar]
  109. Zaman, Q.; König, A. Self-x integrated sensor circuits immune to measurement noise in the presence of input perturbation by using robust optimization/Integrierte Sensorelektronik mit Self-x-Eigenschaften und verbesserter Immunität gegenüber Rauscheinflüssen bei der Messung und Störung der Eingangsgrößen durch ein robustes Optimierungsverfahren. Tech. Mess. 2019, 86, 107–111. [Google Scholar]
  110. Zaman, Q.; Alraho, S.; König, A. Gaussian Process Regression Based Robust Optimization with Observer Uncertainty for Reconfigurable Self-x Sensory Electronics for Industry 4.0. Tech. Mess. 2021, 88, s83–s88. [Google Scholar] [CrossRef]
  111. Barragan, M.J.; Leger, G.; Gines, A.; Peralias, E.; Rueda, A. On the limits of machine learning-based test: A calibrated mixed-signal system case study. In Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE), Lausanne, Switzerland, 27–31 March 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 79–84. [Google Scholar]
  112. Lin, Y.B.; Lin, Y.W.; Lin, J.Y.; Hung, H.N. SensorTalk: An IoT device failure detection and calibration mechanism for smart farming. Sensors 2019, 19, 4788. [Google Scholar] [CrossRef] [PubMed]
  113. Lin, Y.W.; Lin, Y.B.; Hung, H.N. CalibrationTalk: A Farming Sensor Failure Detection and Calibration Technique. IEEE Internet Things J. 2021, 8, 6893–6903. [Google Scholar] [CrossRef]
  114. Mirjalili, S.; Lewis, A.; Mostaghim, S. Confidence measure: A novel metric for robust meta-heuristic optimisation algorithms. Inf. Sci. 2015, 317, 114–142. [Google Scholar] [CrossRef]
  115. Rakshit, P.; Konar, A.; Das, S.; Jain, L.C.; Nagar, A.K. Uncertainty management in differential evolution induced multiobjective optimization in presence of measurement noise. IEEE Trans. Syst. Man Cybern. Syst. 2013, 44, 922–937. [Google Scholar] [CrossRef]
  116. Rakshit, P.; Konar, A.; Das, S. Noisy evolutionary optimization algorithms—A comprehensive survey. Swarm Evol. Comput. 2017, 33, 18–45. [Google Scholar] [CrossRef]
  117. Rasmussen, C.E. Gaussian processes in machine learning. In Summer School on Machine Learning; Springer: New York, NY, USA, 2003; pp. 63–71. [Google Scholar]
  118. Zhou, Q.; Jiang, P.; Huang, X.; Zhang, F.; Zhou, T. A multi-objective robust optimization approach based on Gaussian process model. Struct. Multidiscip. Optim. 2018, 57, 213–233. [Google Scholar] [CrossRef]
  119. Yang, Y.; Li, S.; Li, W.; Qu, M. Power load probability density forecasting using Gaussian process quantile regression. Appl. Energy 2018, 213, 499–509. [Google Scholar] [CrossRef]
  120. Worapishet, A.; Demosthenous, A.; Liu, X. A CMOS instrumentation amplifier with 90-dB CMRR at 2-MHz using capacitive neutralization: Analysis, design considerations, and implementation. IEEE Trans. Circuits Syst. I Regul. Pap. 2010, 58, 699–710. [Google Scholar] [CrossRef]
  121. Wu, R.; Huijsing, J.H.; Makinwa, K.A. Precision Instrumentation Amplifiers and Read-Out Integrated Circuits; Springer Science & Business Media: New York, NY, USA, 2012. [Google Scholar]
  122. Kitchin, C.; Counts, L. A Designer’s Guide to Instrumentation Amplifiers; Analog Devices: Norwood, MA, USA, 2006. [Google Scholar]
  123. Han, K.; Kim, H.; Kim, J.; You, D.; Heo, H.; Kwon, Y.; Lee, J.; Ko, H. A 24.88 nV/Hz Wheatstone Bridge Readout Integrated Circuit with Chopper-Stabilized Multipath Operational Amplifier. Appl. Sci. 2020, 10, 399. [Google Scholar] [CrossRef]
  124. Fan, Q.; Makinwa, K.A.; Huijsing, J.H. Capacitively Coupled Chopper Instrumentation Amplifiers for Low-Voltage Applications. In Capacitively-Coupled Chopper Amplifiers; Springer: New York, NY, USA, 2017; pp. 93–121. [Google Scholar]
  125. Denison, T.; Consoer, K.; Santa, W.; Avestruz, A.T.; Cooley, J.; Kelly, A. A 2 μW 100 nV/rtHz Chopper-Stabilized Instrumentation Amplifier for Chronic Measurement of Neural Field Potentials. IEEE J. Solid-State Circuits 2007, 42, 2934–2945. [Google Scholar] [CrossRef]
  126. van den Dool, B.J.; Huijsing, J.H. Indirect Current Feedback Instrumentation Amplifier with a Common Mode Input Range That Includes the Negative Rail. In Proceedings of the ESSCIRC ’92: Eighteenth European Solid-State Circuits Conference, Copenhagen, Denmark, 21–23 September 1992; pp. 175–178. [Google Scholar] [CrossRef]
  127. Brokaw, A.; Timko, M. An improved monolithic instrumentation amplifier. IEEE J. Solid-State Circuits 1975, 10, 417–423. [Google Scholar] [CrossRef]
  128. Huijsing, J.H. Instrumentation amplifiers: A comparative study on behalf of monolithic integration. IEEE Trans. Instrum. Meas. 1976, IM-25, 227–231. [Google Scholar] [CrossRef]
  129. Brunner, E.; Gilbert, B. The active feedback amplifier. In A versatile analog building block. In Proceedings of the NORTHCON’94, Seattle, WA, USA, 11–13 October 1994; IEEE: Piscataway, NJ, USA, 1994; pp. 131–136. [Google Scholar]
  130. Sackinger, E.; Guggenbuhl, W. A versatile building block: The CMOS differential difference amplifier. IEEE J. Solid-State Circuits 1987, 22, 287–294. [Google Scholar] [CrossRef]
  131. Kwon, Y.; Kim, H.; Kim, J.; Han, K.; You, D.; Heo, H.; Cho, D.I.; Ko, H. Fully Differential Chopper-Stabilized Multipath Current-Feedback Instrumentation Amplifier with R-2R DAC Offset Adjustment for Resistive Bridge Sensors. Appl. Sci. 2020, 10, 63. [Google Scholar] [CrossRef]
  132. Matthus, C.D.; Buhr, S.; Kreißig, M.; Ellinger, F. High Gain and High Bandwidth Fully Differential Difference Amplifier as Current Sense Amplifier. IEEE Trans. Instrum. Meas. 2020, 70, 1–11. [Google Scholar] [CrossRef]
  133. Ge, F.; Thomas, B. A low-voltage high-gain wide-bandwidth class-AB differential difference amplifier. In Proceedings of the APCCAS 2008—2008 IEEE Asia Pacific Conference on Circuits and Systems, Macao, China, 30 November–3 December 2008; IEEE: Piscataway, NJ, USA, 2008; pp. 1176–1179. [Google Scholar]
  134. Pertijs, M.A.; Kindt, W.J. A 140 dB-CMRR current-feedback instrumentation amplifier employing ping-pong auto-zeroing and chopping. IEEE J. Solid-State Circuits 2010, 45, 2044–2056. [Google Scholar] [CrossRef]
  135. Zumbahlen, H. Linear Circuit Design Handbook; Newnes: Oxford, UK, 2011. [Google Scholar]
  136. Choi, G.; Heo, H.; You, D.; Kim, H.; Nam, K.; Yoo, M.; Lee, S.; Ko, H. A Low-Power, Low-Noise, Resistive-Bridge Microsensor Readout Circuit with Chopper-Stabilized Recycling Folded Cascode Instrumentation Amplifier. Appl. Sci. 2021, 11, 7982. [Google Scholar] [CrossRef]
  137. Lee, H.S.; Nguyen, V.N.; Pham, X.L.; Lee, J.W.; Park, H.K. A 250-μW, 18-nV/rtHz current-feedback chopper instrumentation amplifier in 180-nm cmos for high-performance bio-potential sensing applications. Analog. Integr. Circuits Signal Process. 2017, 90, 137–148. [Google Scholar] [CrossRef]
  138. wei Huang, J.; Kou, T.; Li, Y.; Li, F.Y. A ECG offset cancelling readout circuit using a current mode feedback loop technique. IEICE Electron. Express 2017, 15, 20170891. [Google Scholar] [CrossRef]
  139. Ng, K.A.; Chan, P.K. A CMOS analog front-end IC for portable EEG/ECG monitoring applications. IEEE Trans. Circuits Syst. I Regul. Pap. 2005, 52, 2335–2347. [Google Scholar] [CrossRef]
  140. Wang, J.; Ge, F.; Yang, S.; Lin, X.; He, J. Low gain-error instrumentation amplifier for current sensing. In Proceedings of the 2010 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC), Hong Kong, China, 15–17 December 2010; pp. 1–4. [Google Scholar] [CrossRef]
  141. Jia, Q.; Li, X.; Meijer, G.C. Trade-offs in the design of a universal sensor interface chip. In Proceedings of the 2009 IEEE 8th International Conference on ASIC, Changsha, China, 20–23 October 2009; pp. 871–874. [Google Scholar] [CrossRef]
  142. Elsobky, M.; Mahsereci, Y.; Keck, J.; Richter, H.; Burghartz, J.N. Design of a CMOS readout circuit on ultra-thin flexible silicon chip for printed strain gauges. Adv. Radio Sci. 2017, 15, 123–130. [Google Scholar] [CrossRef]
  143. Deligoz, I.; Naqvi, S.R.; Copani, T.; Kiaei, S.; Bakkaloglu, B.; Je, S.S.; Chae, J. A MEMS-Based Power-Scalable Hearing Aid Analog Front End. IEEE Trans. Biomed. Circuits Syst. 2011, 5, 201–213. [Google Scholar] [CrossRef] [PubMed]
  144. Ahmed, M.; Xu, W.; Mohamad, S.; Boussaid, F.; Lee, Y.K.; Bermak, A. Fully Integrated Bidirectional CMOS-MEMS Flow Sensor With Low Power Pulse Operation. IEEE Sens. J. 2019, 19, 3415–3424. [Google Scholar] [CrossRef]
  145. Li, X.; Hu, J.; Chen, W.; Yin, L.; Liu, X. A Novel High-Precision Digital Tunneling Magnetic Resistance-Type Sensor for the Nanosatellites’ Space Application. Micromachines 2018, 9, 121. [Google Scholar] [CrossRef] [PubMed]
  146. Crescentini, M.; Syeda, S.F.; Gibiino, G.P. Hall-Effect Current Sensors: Principles of Operation and Implementation Techniques. IEEE Sens. J. 2022, 22, 10137–10151. [Google Scholar] [CrossRef]
  147. Wu, Y.; Jiang, D.; Liu, X.; Bayford, R.; Demosthenous, A. A Human–Machine Interface Using Electrical Impedance Tomography for Hand Prosthesis Control. IEEE Trans. Biomed. Circuits Syst. 2018, 12, 1322–1333. [Google Scholar] [CrossRef] [PubMed]
  148. Renner, A.; Lappas, J.; König, A. A7.4-cell optimization for the iisic cmos-chip serving as a front-end for integrated impedance spectroscopy. In Proceedings of the AMA—SENSOR 2015 17th International Conference on Sensors and Measurement Technology, AMA Service GmbH, Nuremberg, Germany, 19–21 May 2015; pp. 166–171. [Google Scholar]
  149. Zuo, S.; Heidari, H.; Farina, D.; Nazarpour, K. Miniaturized magnetic sensors for implantable magnetomyography. Adv. Mater. Technol. 2020, 5, 2000185. [Google Scholar] [CrossRef]
  150. Huang, S.C.; Ismail, M.; Zarabadi, S. A wide range differential difference amplifier: A basic block for analog signal processing in MOS technology. IEEE Trans. Circuits Syst. II Analog. Digit. Signal Process. 1993, 40, 289–301. [Google Scholar] [CrossRef]
  151. Barbieri, A.; Pernici, S. A Differential Difference Amplifier with Dynamic Resistive Degeneration for MEMS microphones. In Proceedings of the ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, Lausanne, Switzerland, 12–15 September 2016; pp. 285–288. [Google Scholar] [CrossRef]
  152. Alzaher, H.; Ismail, M. A CMOS fully balanced differential difference amplifier and its applications. IEEE Trans. Circuits Syst. II Analog. Digit. Signal Process. 2001, 48, 614–620. [Google Scholar] [CrossRef]
  153. Alraho, S.; König, A. Wide input range, fully-differential indirect current feedback instrumentation amplifier for self-x sensory systems/Symmetrischer Instrumentierungsverstärker mit indirekter Stromgegenkopplung und hoher Eingangsignalspanne für integrierte Sensorsysteme mit Self-x-Eigenschaften. Tech. Mess. 2019, 86, 62–66. [Google Scholar]
  154. Alraho, S.; Zaman, Q.; König, A. Reconfigurable Wide Input Range, Fully-Differential Indirect Current-Feedback Instrumentation Amplifier with Digital Offset Calibration for Self-X Measurement Systems. Tech. Mess. 2020, 87, s85–s90. [Google Scholar] [CrossRef]
  155. Martins, T.A.; Reyes, D.; Sanches, B.; Van Noije, W. A Class AB Programmable Gain Amplifier for an UWB Breast Cancer Detection System. In Proceedings of the 2021 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Dubai, United Arab Emirates, 28 November–1 December 2021; IEEE: Piscataway, NJ, USA, 2021; pp. 1–4. [Google Scholar]
  156. Wang, J.; Zhu, Z.; Liu, S.; Ding, R. A low-noise programmable gain amplifier with fully balanced differential difference amplifier and class-AB output stage. Microelectron. J. 2017, 64, 86–91. [Google Scholar] [CrossRef]
  157. Freier, R.; Braun, S.; König, A. Reconfigurable precision instrumentation amplifier for universal sensor interface. In Proceedings of the Sensors and Measuring Systems 2014—17. ITG/GMA Symposium, Nuremberg, Germany, 3–4 June 2014; VDE: Berlin, Germany, 2014; pp. 1–5. [Google Scholar]
  158. Michal, S.; Lukas, N.; Viera, S.; Daniel, A. Chapter Digital On-Chip Calibration of Analog Systems towards Enhanced Reliability; IntechOpen: Rijeka, Croatia, 2021. [Google Scholar]
  159. Texas Instruments. Choosing an ADC and OpAmp for minimum Offset. In Texas Instruments Application Report; Texas Instruments: Dallas, TX, USA, 1999. [Google Scholar]
  160. Morimoto, H.; Goto, H.; Fujiwara, H.; Nakamura, K. Complementary metal oxide semiconductor operational amplifier offset calibration technique using closed loop offset amplifier and folded-alternated resistor string digital-to-analog converter. Jpn. J. Appl. Phys. 2012, 51, 02BE10. [Google Scholar] [CrossRef]
  161. Alraho, S.; Zaman, Q.; König, A. Wide Programmable Range Fourth-Order, Fully-Differential Sallen-Key MOSFET-C LPF for Impedance Spectroscopy Measurements and Self-X Sensory Electronics in Industry 4.0. Tech. Mess. 2021, 88, s77–s82. [Google Scholar] [CrossRef]
  162. Tajalli, A.; Leblebici, Y.; Brauer, E.J. Implementing ultra-high-value floating tunable CMOS resistors. IEE Electron. Lett. 2008, 44, 349–350. [Google Scholar] [CrossRef]
  163. Murakawa, M.; Yoshizawa, S.; Adachi, T.; Suzuki, S.; Takasuka, K.; Iwata, M.; Higuchi, T. Analogue EHW chip for intermediate frequency filters. In Proceedings of the International Conference on Evolvable Systems, Lausanne, Switzerland, 23–25 September 1998; Springer: New York, NY, USA, 1998; pp. 134–143. [Google Scholar]
  164. Tawdross, P.M.M. Bio-Inspired Circuit Sizing and Trimming Methods for Dynamically Reconfigurable Sensor Electronics in Industrial Embedded Systems. Ph.D. Thesis, Technische Universität Kaiserslautern, Deutschland, Germany, 2007. [Google Scholar]
  165. Stoica, A.; Zebulum, R.; Keymeulen, D. Mixtrinsic evolution. In Proceedings of the International Conference on Evolvable Systems, Tokyo, Japan, 3–5 October 2001; Springer: New York, NY, USA, 2000; pp. 208–217. [Google Scholar]
  166. Andraud, M.; Stratigopoulos, H.G.; Simeu, E. One-shot non-intrusive calibration against process variations for analog/rf circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 2016, 63, 2022–2035. [Google Scholar] [CrossRef]
  167. Andraud, M.; Stratigopoulos, G.H.; Simeu, E. One-shot calibration of RF circuits based on non-intrusive sensors. In Proceedings of the 51st Annual Design Automation Conference, San Francisco, CA, USA, 1–5 June 2014; ACM: New York, NY, USA, 2014; pp. 1–2. [Google Scholar]
  168. Zaman, Q.; Alraho, S.; König, A. Efficient transient testing procedure using a novel experience replay particle swarm optimizer for THD-based robust design and optimization of self-X sensory electronics in industry 4.0. J. Sens. Sens. Syst. 2021, 10, 193–206. [Google Scholar] [CrossRef]
  169. Variyam, P.N.; Cherubal, S.; Chatterjee, A. Prediction of analog performance parameters using fast transient testing. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2002, 21, 349–361. [Google Scholar] [CrossRef]
  170. Stratigopoulos, H.G.; Mir, S. Adaptive alternate analog test. IEEE Des. Test Comput. 2012, 29, 71–79. [Google Scholar] [CrossRef]
  171. Natarajan, V.; Sen, S.; Banerjee, A.; Chatterjee, A.; Srinivasan, G.; Taenzler, F. Analog signature-driven postmanufacture multidimensional tuning of RF systems. IEEE Des. Test Comput. 2010, 27, 6–17. [Google Scholar] [CrossRef]
  172. Ye, L.; Shi, C.; Liao, H.; Huang, R.; Wang, Y. Highly power-efficient active-RC filters with wide bandwidth-range using low-gain push-pull opamps. IEEE Trans. Circuits Syst. I Regul. Pap. 2012, 60, 95–107. [Google Scholar] [CrossRef]
  173. Zaman, Q.; Alraho, S.; König, A. Low-Cost Efficient Indirect Power Monitoring Method for Optimization of Reconfigurable Analog Readout Circuits with Self-X Capabilities. In Proceedings of the Tagungsband 21—ITG/GMA-Fachtagung Sensoren und Messsysteme 2022, Nuremberg, Germany, 10–11 May 2022; VDE: Berlin, Germany, 2022; pp. 209–213. [Google Scholar]
  174. Shekhar, C.; Qureshi, S. Design and analysis of current starved vco targeting scl 180 nm cmos process. In Proceedings of the 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS), Hyderabad, India, 17–19 December 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 86–89. [Google Scholar]
  175. Alraho, S.; Zaman, Q.; König, A. D10.4 Predicting the Analog Integrated Circuit Performance Using Indirect Measurements Based on Simulations. In Proceedings of the SMSI 2021—Sensors and Instrumentation, Online, 3–6 May 2021; pp. 234–235. [Google Scholar]
  176. Automated Tester Equipment: TestMAX ALE. Available online: https://www.synopsys.com/implementation-and-signoff/test-automation/testmax-ale.html (accessed on 19 May 2022).
  177. Autonomous Optimization Platform. Available online: https://concertio.com/ (accessed on 16 June 2022).
  178. Mirjalili, S.; Dong, J.S.; Lewis, A. Nature-Inspired Optimizers; Springer: Cham, Switzerland, 2020; pp. 69–85. [Google Scholar]
  179. Liu, W.; Wang, Z.; Liu, X.; Zeng, N.; Bell, D. A novel particle swarm optimization approach for patient clustering from emergency departments. IEEE Trans. Evol. Comput. 2018, 23, 632–644. [Google Scholar] [CrossRef]
  180. Kennedy, J.; Eberhart, R. Particle swarm optimization. In Proceedings of the ICNN’95-International Conference on Neural Networks, Perth, WA, Australia, 27 November–1 December 1995; IEEE: Piscataway, NJ, USA, 1995; Volume 4, pp. 1942–1948. [Google Scholar]
  181. Shi, Y.; Eberhart, R.C. Parameter selection in particle swarm optimization. In Proceedings of the 1998 International Conference on Evolutionary Programming, Anchorage, AK, USA, 4–9 May 1998; Springer: New York, NY, USA, 1998; pp. 591–600. [Google Scholar]
  182. Ratnaweera, A.; Halgamuge, S.K.; Watson, H.C. Self-organizing hierarchical particle swarm optimizer with time-varying acceleration coefficients. IEEE Trans. Evol. Comput. 2004, 8, 240–255. [Google Scholar] [CrossRef]
  183. Liu, W.; Wang, Z.; Yuan, Y.; Zeng, N.; Hone, K.; Liu, X. A novel sigmoid-function-based adaptive weighted particle swarm optimizer. IEEE Trans. Cybern. 2019, 51, 1085–1093. [Google Scholar] [CrossRef]
  184. Blackwell, T.; Kennedy, J. Impact of communication topology in particle swarm optimization. IEEE Trans. Evol. Comput. 2018, 23, 689–702. [Google Scholar] [CrossRef]
  185. Mirjalili, S.; Lewis, A.; Dong, J.S. Confidence-based robust optimisation using multi-objective meta-heuristics. Swarm Evol. Comput. 2018, 43, 109–126. [Google Scholar] [CrossRef]
  186. Weaver, S.; Hershberg, B.; Kurahashi, P.; Knierim, D.; Moon, U.K. Stochastic flash analog-to-digital conversion. IEEE Trans. Circuits Syst. I Regul. Pap. 2010, 57, 2825–2833. [Google Scholar] [CrossRef]
  187. Weaver, S.; Hershberg, B.; Moon, U.K. Digitally synthesized stochastic flash ADC using only standard digital cells. IEEE Trans. Circuits Syst. I Regul. Pap. 2013, 61, 84–91. [Google Scholar] [CrossRef]
  188. Sun, H.; Sobue, K.; Hamashita, K.; Moon, U.K. An oversampling stochastic ADC using VCO-based quantizers. IEEE Trans. Circuits Syst. I Regul. Pap. 2018, 65, 4037–4050. [Google Scholar] [CrossRef]
  189. Chen, L.; Tang, X.; Sanyal, A.; Yoon, Y.; Cong, J.; Sun, N. A 0.7-V 0.6-μW 100-kS/s Low-Power SAR ADC With Statistical Estimation-Based Noise Reduction. IEEE J. Solid-State Circuits 2017, 52, 1388–1398. [Google Scholar] [CrossRef]
  190. Singhal, N.; Santosh, M.; Bose, S.; Karmakar, A. Low-Current Sensing Analog-to-Digital Converter with Tuneable Resolution for Biomedical Applications. IEEJ Trans. Electr. Electron. Eng. 2021, 16, 1221–1228. [Google Scholar] [CrossRef]
  191. Cao, W.; He, X.; Chakrabarti, A.; Zhang, X. NeuADC: Neural network-inspired synthesizable analog-to-digital conversion. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2019, 39, 1841–1854. [Google Scholar] [CrossRef]
  192. Cao, W.; He, X.; Chakrabarti, A.; Zhang, X. NeuADC: Neural network-inspired RRAM-based synthesizable analog-to-digital conversion with reconfigurable quantization support. In Proceedings of the 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), Florence, Italy, 25–29 March 2019; IEEE: Piscataway, NJ, USA; pp. 1477–1482. [Google Scholar]
  193. Aamir, S.A.; Stradmann, Y.; Müller, P.; Pehle, C.; Hartel, A.; Grübl, A.; Schemmel, J.; Meier, K. An accelerated lif neuronal network array for a large-scale mixed-signal neuromorphic architecture. IEEE Trans. Circuits Syst. I Regul. Pap. 2018, 65, 4299–4312. [Google Scholar] [CrossRef]
  194. Jeffress, L.A. A place theory of sound localization. J. Comp. Physiol. Psychol. 1948, 41, 35. [Google Scholar] [CrossRef]
  195. Ashida, G.; Carr, C.E. Sound localization: Jeffress and beyond. Curr. Opin. Neurobiol. 2011, 21, 745–751. [Google Scholar] [CrossRef]
  196. Abd, H.; König, A. Adaptive Spiking Sensor System Based on CMOS Memristors Emulating Long and Short-Term Plasticity of Biological Synapses for Industry 4.0 Applications. Tech. Mess. 2021, 88, s114–s119. [Google Scholar] [CrossRef]
  197. Izhikevich, E. Which model to use for cortical spiking neurons? IEEE Trans. Neural Netw. 2004, 15, 1063–1070. [Google Scholar] [CrossRef]
  198. Indiveri, G. A low-power adaptive integrate-and-fire neuron circuit. In Proceedings of the 2003 International Symposium on Circuits and Systems, Bangkok, Thailand, 25–28 May 2003; IEEE: Piscataway, NJ, USA, 2003; Volume 4, p. IV. [Google Scholar]
  199. 3M Textool Burn-In Grid ZIP Sockets. Available online: https://www.3m.com/3M/en_US/p/d/b00035234/ (accessed on 19 May 2022).
Figure 1. Hybrid intelligent system design for CISS based on extrinsic and intrinsic optimization.
Figure 1. Hybrid intelligent system design for CISS based on extrinsic and intrinsic optimization.
Chips 01 00008 g001
Figure 2. Sensors and sensor electronics as lowest level of self-X hierarchy.
Figure 2. Sensors and sensor electronics as lowest level of self-X hierarchy.
Chips 01 00008 g002
Figure 3. AFEs with Self-X capabilities for both conventional and neural computing systems.
Figure 3. AFEs with Self-X capabilities for both conventional and neural computing systems.
Chips 01 00008 g003
Figure 4. Simplified block diagram of the USIX 1 chip (Adapted from: [106]).
Figure 4. Simplified block diagram of the USIX 1 chip (Adapted from: [106]).
Chips 01 00008 g004
Figure 5. Chip photo of the USIX 1 chip (Reproduced with permission from Robert Freier: [106]).
Figure 5. Chip photo of the USIX 1 chip (Reproduced with permission from Robert Freier: [106]).
Chips 01 00008 g005
Figure 6. Simplified block diagram of the USIX 2 chip.
Figure 6. Simplified block diagram of the USIX 2 chip.
Chips 01 00008 g006
Figure 7. Photo of the USIX 2 chip on the wafer prober with test needle on FIB repair location.
Figure 7. Photo of the USIX 2 chip on the wafer prober with test needle on FIB repair location.
Chips 01 00008 g007
Figure 8. Reconfigurable fully-differential CFIA to support self-X properties.
Figure 8. Reconfigurable fully-differential CFIA to support self-X properties.
Chips 01 00008 g008
Figure 9. Proposed CFIA with programmable and automatic digital offset autozeroing.
Figure 9. Proposed CFIA with programmable and automatic digital offset autozeroing.
Chips 01 00008 g009
Figure 10. Digital offset autozeroing under worst statistical corner and CFIA gain equal to 128.
Figure 10. Digital offset autozeroing under worst statistical corner and CFIA gain equal to 128.
Chips 01 00008 g010
Figure 11. Layout implementation of the CFIA with the automatic digital offset automatic and power monitoring schemes.
Figure 11. Layout implementation of the CFIA with the automatic digital offset automatic and power monitoring schemes.
Chips 01 00008 g011
Figure 12. Simplified schematic design of the proposed anti-aliasing filter with tunable MOS resistor.
Figure 12. Simplified schematic design of the proposed anti-aliasing filter with tunable MOS resistor.
Chips 01 00008 g012
Figure 13. Layout implementation of the CFIA with the automatic digital offset automatic and power monitoring schemes.
Figure 13. Layout implementation of the CFIA with the automatic digital offset automatic and power monitoring schemes.
Chips 01 00008 g013
Figure 14. Block diagram of the integration of the power monitoring with THD−based optmization method.
Figure 14. Block diagram of the integration of the power monitoring with THD−based optmization method.
Chips 01 00008 g014
Figure 15. Transistor− level schematic diagram of CFIA along with power monitoring module.
Figure 15. Transistor− level schematic diagram of CFIA along with power monitoring module.
Chips 01 00008 g015
Figure 16. Correlation of the non-intrusive sensors with targeted performance characteristics of DUT.
Figure 16. Correlation of the non-intrusive sensors with targeted performance characteristics of DUT.
Chips 01 00008 g016
Figure 17. Possibilities of AI agent placements at different levels of the system hierarchy.
Figure 17. Possibilities of AI agent placements at different levels of the system hierarchy.
Chips 01 00008 g017
Figure 18. CFIA chip−level post layout simulation result with two different optimization solutions.
Figure 18. CFIA chip−level post layout simulation result with two different optimization solutions.
Chips 01 00008 g018
Figure 19. Chip−level simulation result of the SIPO debugging output bit.
Figure 19. Chip−level simulation result of the SIPO debugging output bit.
Chips 01 00008 g019
Figure 20. Filter chip−level post layout simulation result with two different configurations.
Figure 20. Filter chip−level post layout simulation result with two different configurations.
Chips 01 00008 g020
Figure 21. Visualization of the exploration capabilities of the PSO after 100 number of iterations.
Figure 21. Visualization of the exploration capabilities of the PSO after 100 number of iterations.
Chips 01 00008 g021
Figure 22. The predicted output with 95% confidence interval and illustration of the data forecasting capabilities by the application of GPR block.
Figure 22. The predicted output with 95% confidence interval and illustration of the data forecasting capabilities by the application of GPR block.
Chips 01 00008 g022
Figure 23. (a) Acoustic localization model. The brain locates the voice’s position using interaural time differences (ITDs). (b) The main blocks of proposed SAFE architecture.
Figure 23. (a) Acoustic localization model. The brain locates the voice’s position using interaural time differences (ITDs). (b) The main blocks of proposed SAFE architecture.
Chips 01 00008 g023
Figure 24. SAFE with self-X capabilities based on the two levels of adaptivity. The first and the second are implemented by the autonomous circuit of ACD and optimization algorithm/supervised learning, respectively. The second level used the V LEAK , V RFR to control the neuron and vg1, vg2 to control the synapses.
Figure 24. SAFE with self-X capabilities based on the two levels of adaptivity. The first and the second are implemented by the autonomous circuit of ACD and optimization algorithm/supervised learning, respectively. The second level used the V LEAK , V RFR to control the neuron and vg1, vg2 to control the synapses.
Chips 01 00008 g024
Figure 25. Proposed circuit to simplify the Indiveri’s neuron (the ratio numbers represent the W/L value of the transistors in μ m). It has been used in the ACD block.
Figure 25. Proposed circuit to simplify the Indiveri’s neuron (the ratio numbers represent the W/L value of the transistors in μ m). It has been used in the ACD block.
Chips 01 00008 g025
Figure 26. The layout of adaptive coincidence detection.
Figure 26. The layout of adaptive coincidence detection.
Chips 01 00008 g026
Figure 27. The layout of self-adaptive spike-to-rank coding.
Figure 27. The layout of self-adaptive spike-to-rank coding.
Chips 01 00008 g027
Figure 28. Autonomous control circuit. It implements the self-adaptation of the first level for the synapses’ weights.
Figure 28. Autonomous control circuit. It implements the self-adaptation of the first level for the synapses’ weights.
Chips 01 00008 g028
Figure 29. The post−layout simulation of the adaptive coincidence detection.
Figure 29. The post−layout simulation of the adaptive coincidence detection.
Chips 01 00008 g029
Figure 30. The simulation of SA-SRC at circuit conditions: temperature = 27 C, Vdd = 3.3 V and on the nominal process. Every column represents one code and reflects one value of ITD.
Figure 30. The simulation of SA-SRC at circuit conditions: temperature = 27 C, Vdd = 3.3 V and on the nominal process. Every column represents one code and reflects one value of ITD.
Chips 01 00008 g030
Figure 31. Simplified block−diagram of the prototyping chip layout.
Figure 31. Simplified block−diagram of the prototyping chip layout.
Chips 01 00008 g031
Figure 32. The physical implementation of the prototyping chip.
Figure 32. The physical implementation of the prototyping chip.
Chips 01 00008 g032
Figure 33. Demonstration board for the designed chip prototype.
Figure 33. Demonstration board for the designed chip prototype.
Chips 01 00008 g033
Figure 34. (a) Photo of demonstration board with chip zip socket, (b) Layout of PCB design for 13 × 13 chip zip socket for the demonstration board.
Figure 34. (a) Photo of demonstration board with chip zip socket, (b) Layout of PCB design for 13 × 13 chip zip socket for the demonstration board.
Chips 01 00008 g034
Table 1. MOSFET size ratios of the CFIA circuit.
Table 1. MOSFET size ratios of the CFIA circuit.
Tr. Nr.W/L ( μ m / μ m ) Tr. Nr.W/L ( μ m / μ m )
M1, M2256/1M21, M2252/0.55
M3, M4128/0.5M23, M2418/0.55
M5, M6, M13, M14120/0.7M25, M2642/0.7
M7, M8, M15, M1640/0.7M25, M27, M3050/1
M9, M1040/0.5M3164/1
M11, M1280/1M3232/0.35
M17, M18300/1M3710/0.5
M19, M20132/0.7M3820/1
MD1, MD3240/0.35M33 *, M34 *64/0.5
MD2, MD480/0.35M35 *, M36 *128/0.5
MP1, MP31/0.35
where ∗ represents scalable devices.
Table 2. CFIA post layout characteristics based on schematic level optimization solutions.
Table 2. CFIA post layout characteristics based on schematic level optimization solutions.
CFIA Design ParameterStatistical Information (Schematic Level)Statistical Information (Post Layout Level)
Mean Min Max Mean Min Max
Differential DC gain ( A VD ) 94.80 dB 92.19 dB 97.70 dB 94.73 dB 92.16 dB 97.72 dB
Gain bandwidth product ( GBW ) 47.75 MHz 26.35 MHz 102.18 MHz 39.41 MHz 25.1 MHz 82.32 MHz
Phase margin ( PM ) 73 . 22 63 . 22 81 . 32 60 . 47 47 . 12 72 . 49
Slew rate ( SR ) ± 63.38 V / µ s ± 29.40 V / µ s ± 165.12 V / µ s ± 60.34 V / µ s ± 28.55 V / µ s ± 155.17 V / µ s
PMM output frequency ( f ck ) 347.18 kHz 218.3 kHz 607.7 kHz 377.48 kHz 211.2 kHz 593 kHz
Static power dissipation ( P D ) 4.17 mW 2.07 mW 8.76 mW 4.16 mW 2.06 mW 8.76 mW
Table 3. The post-layout performance of SA-SRC under worst-case process corners. Where Tmax = + 85 C , Tmin = 40 C , VDD ( typ ) = 3.3 V , VDD ( max ) = + 10 % VDD ( typ ) , VDD ( min ) = 10 % VDD ( typ ) , WP: worst-case power, WS: worst-case speed, WZ: worst-case zero and WO: worst-case one.
Table 3. The post-layout performance of SA-SRC under worst-case process corners. Where Tmax = + 85 C , Tmin = 40 C , VDD ( typ ) = 3.3 V , VDD ( max ) = + 10 % VDD ( typ ) , VDD ( min ) = 10 % VDD ( typ ) , WP: worst-case power, WS: worst-case speed, WZ: worst-case zero and WO: worst-case one.
Corner No.ProcessTEMPVDDvg1 (V)vg2 (V)V_leak (V)V_ref (V)
1TMtypicaltypical 1.8 220 m 700 m 750 m
2WOminmax 1.8 220 m 700 m 750 m
3WOminmin 2.2 0 700 m 650 m
4WOmaxmax 1.8 220 m 700 m 750 m
5WOmaxmin 2.1 10 m 700 m 590 m
6WPminmax 1.8 660 m 700 m 700 m
7WPminmin 1.8 220 m 700 m 750 m
8WPmaxmax 1.8 220 m 700 m 750 m
9WPmaxmin 1.2 0 700 m 750 m
10WSminmax 1.2 50 m 700 m 800 m
11WSminmin 2.5 100 m 700 m 700 m
12WSmaxmax2 70 m 700 m 720 m
13WSmaxmin 2.2 120 m 700 m 600 m
14WZminmax 1.9 100 m 700 m 780 m
15WZminmin 1.8 100 m 700 m 740 m
16WZmaxmax 1.8 100 m 700 m 830 m
17WZmaxmin 1.8 130 m 700 m 610 m
Table 4. Individual cells of the designed and under manufacturing chip with self-X capabilities.
Table 4. Individual cells of the designed and under manufacturing chip with self-X capabilities.
Cell Nr.Cell LabelDescription
1CFIA1CFIA circuit with manual offset calibration
2CFIA2CFIA circuit with auto-digital offset autozeroing
3FilterActive filter circuit with non-intrusive sensors
4SIPOThe configuration memory for the corresponding cell
5NeuronModified leaky integrate-and-fire spiking model
6Adaptive synapseEmulated biological synapse using emulating CMOS memristor
7ACDTwo adaptive synapses (AS) and one neuron (N)
8SA-SRCSelf-adaptive spike-to-rank coding
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Alraho, S.; Zaman, Q.; Abd, H.; König, A. Integrated Sensor Electronic Front-Ends with Self-X Capabilities. Chips 2022, 1, 83-120. https://doi.org/10.3390/chips1020008

AMA Style

Alraho S, Zaman Q, Abd H, König A. Integrated Sensor Electronic Front-Ends with Self-X Capabilities. Chips. 2022; 1(2):83-120. https://doi.org/10.3390/chips1020008

Chicago/Turabian Style

Alraho, Senan, Qummar Zaman, Hamam Abd, and Andreas König. 2022. "Integrated Sensor Electronic Front-Ends with Self-X Capabilities" Chips 1, no. 2: 83-120. https://doi.org/10.3390/chips1020008

Article Metrics

Back to TopTop