Next Article in Journal
Insights into the Stability of Graphene Oxide Aqueous Dispersions
Previous Article in Journal
All-Aerosol-Jet-Printed Carbon Nanotube Transistor with Cross-Linked Polymer Dielectrics
Previous Article in Special Issue
Memristors with Nociceptor Characteristics Using Threshold Switching of Pt/HfO2/TaOx/TaN Devices
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Ferroelectric Devices for Content-Addressable Memory

1
Rzhanov Institute of Semiconductor Physics SB RAS, 630090 Novosibirsk, Russia
2
Valiev Institute of Physics and Technology RAS, 117218 Moscow, Russia
*
Author to whom correspondence should be addressed.
Nanomaterials 2022, 12(24), 4488; https://doi.org/10.3390/nano12244488
Submission received: 29 November 2022 / Revised: 10 December 2022 / Accepted: 15 December 2022 / Published: 19 December 2022
(This article belongs to the Special Issue Redox-Based Resistive Nanomemristor for Neuromorphic Computing)

Abstract

:
In-memory computing is an attractive solution for reducing power consumption and memory access latency cost by performing certain computations directly in memory without reading operands and sending them to arithmetic logic units. Content-addressable memory (CAM) is an ideal way to smooth out the distinction between storage and processing, since each memory cell is a processing unit. CAM compares the search input with a table of stored data and returns the matched data address. The issues of constructing binary and ternary content-addressable memory (CAM and TCAM) based on ferroelectric devices are considered. A review of ferroelectric materials and devices is carried out, including on ferroelectric transistors (FeFET), ferroelectric tunnel diodes (FTJ), and ferroelectric memristors.

Graphical Abstract

1. Introduction

Conventional von Neumann architectures suffer from long latency and high power consumption due to data movement between external memory and arithmetic logic units (ALUs) [1,2,3]. In-memory computing [4,5,6,7,8,9,10,11,12,13] is an attractive solution for reducing power consumption and memory access latency cost by performing certain computations directly in memory. Content-addressable memory (CAM) is an ideal way to smooth out the distinction between storage and processing, since each memory cell is a processing unit. CAM [14,15,16,17,18] compares the search input with a table of stored data and returns the matched data address. CAM modules have a higher throughput than other hardware and software search engines. CAM can be used in a wide variety of applications requiring high search speed.
The main commercial application of CAM today is the classification and forwarding of Internet Protocol (IP) packets in network routers [19,20,21,22,23,24]. CAM is a good choice for implementing fast searches. However, the CAM speed comes at the cost of increased silicon area and power consumption, two design parameters that developers seek to reduce. As the number of applications that require a larger CAM grows, the power issue becomes even more acute. Reducing power consumption without sacrificing speed or area is a major focus of recent research in high capacity CAM.
Figure 1 shows a simplified CAM block diagram. The system input is a search word which is translated through the search strings into a table of stored data. Each stored word has a match string that indicates whether the search word and the stored word are identical (match case) or different (mismatch case or miss). The match lines are passed to the encoder, which generates a binary match location corresponding to the match line in the match state. The encoder is used in systems where only one match is expected.
The CAM general function is to take the search word and return the corresponding memory location. This operation can be thought of as a fully programmable arbitrary mapping of a large input search word space to a smaller output match location space.
Ternary content-addressed memory (TCAM) [24,25,26] uses the “don’t care” state in addition to the “0” and “1” states for wildcard operations that match both “0” and “1”. This is implemented by making it possible to always disable the discharge path in the TCAM cell (Figure 2) (typically by turning off the corresponding switches controlled by the “don’t care” bits). As a result, the TCAM cell requires 3-state memory: ‘0/1’, ‘1/0’, and ‘0/0’, and is typically implemented with two bits (‘1/1’ is redundant and not used). With a TCAM-based approach, given a query feature vector, it is possible to search quickly and efficiently across all stored vectors (i.e., network storage devices).
TCAM performs lookup operations directly on the memory itself. TCAM is a critical component for achieving fast lookup. TCAM performs a bitwise XOR/XNOR between the lookup key and all the stored data to obtain the result of a match in one cycle. CAM or TCAM is usually organized as a two-dimensional array. The input vector bitstream is sent to the array via the vertical search lines SL. The corresponding input bit and the stored bit in each CAM cell perform an XNOR-style operation that resets ML if two bits do not match (or leaves ML floating, otherwise). Therefore, if one or more cells do not match, ML will be sparse; otherwise, ML will remain at a high level and give a “consistent” result.

2. Ferroelectric Materials and Devices

Today, the main type of manufactured electronic devices are integrated circuits of computing systems based on complementary metal-oxide-semiconductor (CMOS) silicon transistors [27,28,29,30]. Processor static cache and DRAM circuits use the most advanced 5–10 nm design rules, while SoC and flash non-volatile memory use the less sophisticated 10–14 nm and 22–28 nm, respectively.
The highest demands on memory capacity and speed are made today by neuromorphic computing systems. Despite significant progress in the systems software implementation based on mathematical models of synapses and neurons, the hardware approach is of considerable interest, since it will allow the implementing of the fastest and most energy-efficient approaches to solving a number of artificial intelligence (AI) problems. Therefore, the study of the physical foundations and technologies for the formation of integrated universal memory devices, with the possibility of carrying out data operations and functionally combining the best characteristics in terms of capacity, speed and energy efficiency of all memory devices types, remains an urgent problem in semiconductor micro- and nanoelectronics. Ferroelectrics belong to the multifunctional materials class integrated into CMOS technology.

2.1. Ferroelectric Materials

Two stable polarization states of ferroelectric materials (FM) can be switched by an electric field application [30,31]. FM has a stable polarization at zero applied field, called remanent polarization Pr. All FM are piezoelectrics [32]. As a result, FMs have many useful properties [33,34]. When it comes to the use of ferroelectrics in integrated circuits, the defining ferroelectric property, namely the switchable polarization P, is the most important property, since it can be applied to information storage, for example, in a ferroelectric capacitor [34] (Figure 3a). Here, the field-driven switching mechanism, together with the fact that the polarization state will persist for a long time, makes the material an ideal choice for implementing low-power non-volatile memory.
It is believed that, for ferroelectricity realization in a crystal, it is necessary to have a non-centrosymmetric structure. This makes ferroelectricity a rare material property that has historically been observed only in fairly complex crystal structures involving three or more elements, such as perovskites. However, they are difficult to use in integrated circuit (IC) technology, which places high demands on the heat budget, exposure to forming gas during annealing, and tight control of the elements used in the production line. As a result, ferroelectrics integrated into semiconductor ICs so far hold a small market share.
The first ferroelectrics, discovered in the 1920s and 1930s, respectively, were crystals of water-soluble Rochelle salt and potassium dihydrogen phosphate [35,36,37]. The discovery of ferroelectricity in barium titanate BaTiO3 (BTO) in the early 1940s paved the way for applications in sensors and capacitors [38,39,40]. As far back as the 1950s, Buck [41] proposed the use of ferroelectrics in memory applications, and this proposal inspired additional research [42,43]. However, this work did not bring products to market, and IC technology was not yet available in those days. However, early devices that contained hundreds of capacitive crossbar array memory cells on a single BTO substrate can be considered as the first integrated memory circuits demonstration.
Today, similar matrices are formed on the basis of memristors that provide hardware implementation of neuromorphic computing systems. One of the key elements of this approach is the perovskite memristor, a bipolar device with a continuous spectrum of possible resistance values, capable of modeling synaptic plasticity [44,45]. In the early 2010s, a resistive switching was shown due to the conductive filament formation in resistive random access memory (ReRAM) cells with a metal–insulator–metal Hf/HfO2/TiN stack which was actively introduced into CMOS technology [46,47,48,49]. As for other materials (Figure 4, Table 1), the lead–zirconium titanate Pb[ZrxTi1−x]O3 (PZT) system took another big step in the 1950s [50,51].
As with BTO, PZT has a perovskite structure. However, a mixture of oxides, based on Zr and Ti, provides additional flexibility, and has excellent ferroelectric properties. In the second half of the 1950s, the concept of a ferroelectric field-effect transistor (FeFET) was first proposed [52]. However, it took a very long time before such a device gave useful characteristics, including non-volatile storage of information [53]. FM integrated circuit realization could meet all the requirements and be commercialized in the early 1990s [54].
Aside from using PZT instead of BTO, the main difference from the earlier 1950s attempts was that a selecting transistor was added to the memory cell, resulting in a cell structure similar to dynamic random access memory ICs (DRAM). The sampling transistor eliminated the problems associated with accessing other columns of bits (bitline) and lines of words (wordline). However, PZT capasitors were subject to material fatigue degradation, which manifested itself in a decrease in switchable polarization with an increase in the number of read and write cycles.
In the 90s, layered perovskites were proposed to solve fatigue problems, with oxide dielectric interlayers between the perovskite layers, such as strontium–bismuth tantalate Sr2Bi2TaO9 (SBT), as a solution to the fatigue problem (Figure 4) [55].
Commercial success was limited, since around the same time it was found that fatigue in PZT was significantly reduced when using oxide electrodes such as IrO2, RuO2 and related materials [56]. Even after solving the fatigue problem in PZT, the required crystallization and crystallite size, unfriendly inclusion of lead and weakly bound oxygen, low coercive field, and high leakage currents made perovskites and layered perovskites quite problematic for integration into CMOS processes [57]. As a result, the most advanced technology based on perovskites has stopped at the 130 nm design rule [58], and the problem of three-dimensional integration has not yet been solved [59]. It was not until the mid-2000s that the first demonstration of the energy-independent operation of SBT-based FeFETs was achieved [60]. In the same time period, a concept based on switched tunneling current through a very thin ferroelectric, which was first proposed by Leo Esaki et al. back in 1971 [61], was finally implemented [62] by adding ferroelectric tunnel junctions (FTJs) as a fourth option in the portfolio of ferroelectric storage devices. However, FTJ devices require high-quality epitaxial ferroelectrics [63].
It is unlikely that this approach will be integrated into the CMOS process. Epitaxial growth directly on a CMOS-compatible substrate cannot be achieved with currently known processes for the epitaxial growth according to the lattice parameters. An alternative approach for integrating such complex oxide films and metal electrodes is to grow epitaxial films on another substrate and then transfer them to CMOS, but this method is still at the stage of fundamental studies of wafers [64,65]. It will take many more years of research to understand if an FTJ device can be viable for CMOS integration. Thus, by the end of the first decade of this century, the field of ferroelectric devices was demonstrated for four fundamentally different types of devices, but their integration into modern CMOS processes was hampered by the problems of rather difficult to manufacture materials that are incompatible with the integrated circuit CMOS technology.
In 2011, it was first reported that a ferroelectric effect can be achieved in doped hafnium oxide (HfO2) [66,67]. This discovery changed the prospects for integrating ferroelectrics into complementary metal–oxide–semiconductor (CMOS) processes, even those that had been at the front end of CMOS processes since 2007 [68]. Quite recently, piezoelectricity used in AlN-based devices has been successfully converted into switchable ferroelectricity in AlScN [69,70]. This material would be ideal for CMOS integration with high power AlxGa1-xN nitride technology. Finally, efforts to create 2D materials suitable for use in electronic devices have also led to very interesting ferroelectrics [70,71]. In the next section, ferroelectrics based on hafnium oxide will be considered, since these materials are the closest to real applications and, therefore, show promise for implementation in commercial ferroelectric memristors, tunnel junctions, and transistors in the next five years.

2.2. Ferroelectric Materials Based on Hafnium Oxide

A possible way to solve the problem of integrating ferroelectrics into CMOS IC technology was to detect the ferroelectric properties of 10–15 nm films based on hafnium dioxide (HfO2) doped with Si (HO:Si) in a coercive field Ec = (1 − 2) × 106 V/cm group from the Technical University of Dresden (Germany) in 2011 [66,67]. Since undoped HfO2 (HO) films have been actively used since 2007 as gate high-k insulators in all modern CMOS ICs with supply voltages up to 1.5 V [68], there are no technical barriers to the introduction of ferroelectric HfO2 layers doped with CMOS IC technology. A little later, the same group demonstrated a decrease in Ec and an increase in the residual polarization Pr to 10–20 µC/cm2 in a solid solution of hafnium–zirconium dioxide (Hf0.5Zr0.5O2 or HZO) [72].
The authors of the first works with electron and X-ray diffraction methods showed that the ferroelectric hysteresis in HO:Si and HZO layers is due to the metastable non-centrosymmetric orthorhombic phase Pca21 (Table 2). This phase in HO:Si and HZO films was transformed into a stable monoclinic phase P21/c during long-term stationary heat treatments (FA) above 600 °C and 500 °C, respectively [73]. At the same time, the temperature budget for manufacturing modern CMOS ICs reaches 900–950 °C.
It was proposed to solve the problem of thermal stability of ferroelectric layers with metastable ferroelectric phases in three ways: firstly, by using rare-earth impurities (La, Gd, Y, and others) or Al for doping with HO instead of silicon [74,75,76], which form refractory oxides due to the greater bonding energies; secondly, by a decrease in the duration of the thermal load on the ferroelectric during fast (sub-minute) heat treatments (RTA) [77]; thirdly, instead of introducing disordered inclusions of refractory oxides, it was proposed to split films with a thickness of more than a few nanometers into nanometer layers due to regular insertions of Al2O3 monolayers separating thick films into nanometer HO or HZO lamellae. Such films, nanolaminates, hereinafter referred to as HAO or HZAO, respectively, ensured the expansion of the thermal stability region of ferroelectric phases up to temperatures RTA T ~ 900 °C while maintaining the maximum value of the remanent polarization Pr together with the use of the first two methods simultaneously [78,79,80,81,82,83]. Such inserts slow down the accelerated growth of a stable monoclinic phase in nanolaminates during RTA heat treatments due to the size effects of the contribution of surfaces to the free energies of nanosized nuclei of all phases formed during plasma-assisted atomic layer deposition (PEALD), taking into account higher crystallization temperatures of amorphous Al2O3 inserts compared with HO and HZO [84].

2.3. Ferroelectric Transistors

Four promising types of non-volatile memory devices based on ferroelectric materials have already been proposed, where the ferroelectric tunnel junction and the memristor are combined (Figure 1c). So far, the only commercially successful concept is ferroelectric random access memory (FeRAM). FeRAM devices demonstrate a high read and write speed (~10 ns), a giant resource (~1014 switchings), and have already found their niche in the market of modern non-volatile memory [54,57,58,60].
In FeRAM 1T1C cell, during a destructive read, the polarization-switchable charge is transferred through the sampling transistor to the discharge line (BL) (Figure 3a). Just as with DRAM, the sensitivity amplifier determines the stored logic state. The read cycle must be completed by restoring the information. Therefore, each read cycle also increases the write time. Using PZT as a ferroelectric, it is possible to achieve a cycle life of ~106 switchings. For better scalable films based on hafnium oxide, a resource reaching 1012 switching cycles has been observed, on which successful implementations of 1T1C memory arrays have recently been demonstrated [85,86]. In addition, the low charge signal can be sufficiently amplified by a second transistor, which is added to the memory cell, forming a 2T1C cell. This reduces the complexity of manufacturing a planar capacitor to a minimum, however, by increasing the size of the memory cell [87].
Further development of FeRAM will focus on scaling and 3D integration [88,89], as well as optimizing the reliability of ferroelectric capacitors, which is a mandatory requirement in the manufacture of memory arrays with a density of several Gbits. However, FeRAM arrays are not without a number of significant drawbacks. Since the state of the FeRAM memory cell is directly encoded by the direction of the remanent polarization vector, reading this state is destructive and requires restoring information that slows down reading and increases power consumption.
The actively developed 1T memory cell in the FeRAM architecture, which does not have such disadvantages, uses a ferroelectric field effect transistor (FeFET) with a ferroelectric instead of a gate dielectric. The FeFET idea is not new. It was proposed back in 1957 [52], but was commercially implemented only on the basis of SBT ferroelectric in 2004 [60]. FeFET research has made significant breakthroughs over the past decade. FeFET is a field effect transistor in which the direction of the remanent polarization vector modulates the conductance of the transistor channel. The key parameter of a ferroelectric field effect transistor is the memory window (Memory Window, MW). The value of the MW is determined by the region of gate voltages at which the two states of the channel resistance differ by more than an order of magnitude. This region is determined not only by the magnitude of the remanent polarization, but also depends on the magnitude of the coercive fields in the ferroelectric. For example, if the coercive field is small, as in the case of perovskites, then it is necessary to use large thicknesses of the ferroelectric layer. Otherwise, even small gate voltages will switch the polarization of the ferroelectric. This effect limited further scaling of FeFET devices based on classical ferroelectrics [52]. Today, this limitation has been circumvented by using ferroelectric hafnium oxide which, on the contrary, has large (0.8–2.0 MV/cm) coercive fields. As a result, a record channel length of 22 nm was achieved in HfO2-based ferroelectric field-effect transistors [89], but FeFET simulations with HZO ferroelectric parameters demonstrate its applicability in the most advanced 7 and 3 nm process standards [90].
In the 1T FeFET concept, using the internal amplification of the transistors, a non-destructive reading can be achieved by measuring the drain-source current, while the data stored in the gate ferroelectric can be maintained in a non-volatile manner. Successful integration of hafnium oxide FeFET into commercial 28 nm and 22 nm planar technology has been demonstrated with modern high quality metal gate technologies [89,91]. Today, the cyclic resource is still on the verge of what is required for non-volatile memory, mainly due to the degradation of the interfacial layer that forms between the Si channel and the ferroelectric layer [92]. However, the claimed 1010–1012 write cycle life is already competitive, with conventional floating gate and charge trapping devices, making the FeFET device a suitable solution for embedded non-volatile memory (eNVM). Further improvement in cycle life can be achieved by eliminating the interfacial oxide layer, or by designing a device structure that changes the capacitive voltage divider between the ferroelectric and dielectric layers [92,93]. In terms of commercialization, basically three reasons are decisive. First, from the analysis of array distortions, array architectures such as OR and NOR are preferred, implying a larger cell size compared to NAND [94]. Secondly, the polycrystallinity of the ferroelectric material causes a certain variability in switching characteristics [68], which is usually compensated by an increase in the size of the transistor beyond 0.01 μm2 [89,91] or a change in the FeFET design, which still prevents the FeFET concept from being scalable to competitive sizes, for energy independent storage devices. An improvement can be achieved by using textured or epitaxially grown ferroelectric films. Thirdly, the storage of several bits in a single FeFET was successfully demonstrated [95]. However, in the case of FeFET, multi-level storage is limited by the maximum achievable threshold voltage (MW) memory window calculated from a first order estimate over MW = 2 * Ec * tox ≈ 2 V, and implementation of more than 2 bits per cell seems unrealistic. The way to increase MW is to increase the thickness of the FE layer, as shown in reference [96]. Another proposed way to increase the number of bits is to change the FeFET design to improve the field uniformity in the ferroelectric along the channel (Figure 5), or to use two or more gates [97,98,99].
Scalability limitations can be overcome by implementing high-density 3D-NAND FeFET memory [88,100,101]. In addition to the larger effective gate area of the FeFET, which would improve layered memory over planar FeFET, improved die efficiency could be achieved by lower on-chip software voltages for FeFET compared to flash devices. However, the cyclic resource can also be a decisive factor here [102]. Devices based on non-volatile memory in fluorite-structured oxides, such as HfO2 and ZrO2, are able to mimic the behavior of synapses and neurons, which are the main elements of biological computing systems (the brain). With the discovery of ferroelectric devices compatible with modern CMOS technologies (Figure 6), neuromorphic computing devices based on ferroelectrics with a fluorite structure quickly became the main direction of neuromorphic research and development [73]. Additional opportunities for neuromorphic computing are opened by the analog operation mode of FeFET in the architecture of analog computing in memory (analog compute-in-memory, ACiM) [103].

2.4. Ferroelectric Tunnel Junction

A ferroelectric tunnel junction (FTJ) was proposed as a non-volatile memory relatively recently, and the possibility of its fabrication based on ferroelectric hafnium oxide was confirmed only a few years ago [55]. The classic ferroelectric tunnel junction is a two-electrode device in which the direction of polarization modulates the potential barrier through the tunnel-transparent ferroelectric, and, as a result, the tunnel current flowing (Figure 7).
The current measured at different directions of the polarization vector is a logical “0” and “1”. The main advantages of FTJ are not limited to two-electrode control and non-destructive reading of the memory state, which can significantly increase the recording density in the crossbar architecture of memory arrays, even compared to classical DRAM memory [93].
Unshielded surface charges induced by remanent polarization create a depolarizing field. The depolarizing field changes the resulting potential barrier across the structure. A change in charge signs during polarization reversal also changes the direction of the depolarizing field and the profile of the potential barrier. As a consequence, a change in the potential profile upon polarization inversion is possible only with nonideal screening of surface charges, which, in turn, is ensured by a finite screening length in the electrodes and (or) the presence of an IL dielectric. Changing the potential barrier with a change in polarization will lead to a change in its transparency and a change in the tunneling current (Figure 6A–C) [73]. The change in current can be enhanced in the case of an asymmetric potential profile by using electrodes with different screening lengths, for example, in a metal-ferroelectric-metal or metal-ferroelectric-semiconductor structure [104,105,106]. The screening length in metals is small, so the polarization charges are screened almost completely, creating a small depolarizing field. The presence of a dielectric layer (IL) or a semiconductor as one of the electrodes weakens the shielding of polarization charges and introduces additional asymmetry into the structure, which makes it possible to more effectively modulate the potential distribution by rotating the polarization vector [107,108,109,110]. The presence of a space charge in the ferroelectric layer creates a number of effects common to all ferroelectric materials. Space charges on traps and mobile charged defects in a ferroelectric determine the imprint (switching voltage drift) and switching kinetics, and also lead to a gradual evolution of the domain structure and a decrease in the residual polarization during cycling [73,111,112,113].
Figure 7. Energy band landscapes for different structures with different ferroelectric polarization states within the structure. (A) Metal/ferroelectric/metal (M/F/M), (B) metal/ferroelectric/interlayer/metal (M/F/IL/M), and (C) metal/ferroelectric/IL/semiconductor (M/F/IL/S) structures. The band structures are drawn using band diagram program with schematic Thomas–Fermi screening length of metals [113,114]. The interlayers of M/F/IL/M and M/F/IL/S are set as Al2O3 and SiO2, respectively. (D) Conductance ratio of FTJs, having a TiN/Hf0.5Zr0.5O2 (HZO)/ZrO2/TiN (M/F/IL/M) and TiN/HZO/ZrO2/poly-Si (M/F/IL/S) structure as a function of the pulse amplitude. (E) Retention characteristics of M/F/IL/M and M/F/IL/S FTJs; both devices were measured after wake-up field cycling of 106 cycles with ±6 V/500 ns and 10 V/500 ns for M/F/IL/M and M/F/IL/S, respectively. (F) Relative permittivity of a M/F/M capacitor as a function of the field cycles. The inset shows the device schematic (right) and a hysteresis loop of the relative permittivity (left). The relative permittivity was extracted from the small-signal capacitance measured at 0 V with a bias amplitude of 30 mV. The program/erase pulse amplitude used were 3 V/−3 V. (D,E) Reproduced with permission [104]. Copyright 2021, IEEE. (F) Reproduced with permission [115]. Copyright © 2021, IEEE [73].
Figure 7. Energy band landscapes for different structures with different ferroelectric polarization states within the structure. (A) Metal/ferroelectric/metal (M/F/M), (B) metal/ferroelectric/interlayer/metal (M/F/IL/M), and (C) metal/ferroelectric/IL/semiconductor (M/F/IL/S) structures. The band structures are drawn using band diagram program with schematic Thomas–Fermi screening length of metals [113,114]. The interlayers of M/F/IL/M and M/F/IL/S are set as Al2O3 and SiO2, respectively. (D) Conductance ratio of FTJs, having a TiN/Hf0.5Zr0.5O2 (HZO)/ZrO2/TiN (M/F/IL/M) and TiN/HZO/ZrO2/poly-Si (M/F/IL/S) structure as a function of the pulse amplitude. (E) Retention characteristics of M/F/IL/M and M/F/IL/S FTJs; both devices were measured after wake-up field cycling of 106 cycles with ±6 V/500 ns and 10 V/500 ns for M/F/IL/M and M/F/IL/S, respectively. (F) Relative permittivity of a M/F/M capacitor as a function of the field cycles. The inset shows the device schematic (right) and a hysteresis loop of the relative permittivity (left). The relative permittivity was extracted from the small-signal capacitance measured at 0 V with a bias amplitude of 30 mV. The program/erase pulse amplitude used were 3 V/−3 V. (D,E) Reproduced with permission [104]. Copyright 2021, IEEE. (F) Reproduced with permission [115]. Copyright © 2021, IEEE [73].
Nanomaterials 12 04488 g007

2.5. Ferroelectric Memristors

Currently, a large number of memristive devices based on various switching mechanisms have been demonstrated. In memristive devices, a change in resistance is associated with a change in the activity of a biological synapse (synaptic weight). Based on the principle of operation, they can be divided into two classes: memristors of the first and second kind [114,115,116,117,118,119,120]. In memristors of the first kind, conductivity modulation is carried out only by changing the external applied voltage, as a result of which the demonstration of synaptic properties is possible only when using overlapping impulses (post- and pre-synaptic spikes) of a complex shape.
The biological synapse has an internal dissipative temporal mechanism that determines its current state and synaptic plasticity. The synaptic response to a series of coding spikes is determined by many temporal processes in the presynaptic and postsynaptic neuron, among which are, for example, the diffusion of Ca2+ ions through the postsynaptic membrane [121], the concentration of which gradually decreases with time and strongly depends on the prehistory. All these mechanisms lead to the fact that the synaptic response depends on the frequency of the stimulating impulse, rather than its shape.
Memristors, in which similar dissipative mechanisms are observed, do not require accurate tuning of stimulating impulses and their overlap, and therefore can be used for “natural” modeling of the activity of a biological synapse (Figure 6). Such devices are called memristors of the second kind. In the practical implementation of memristors of the second kind, the dissipation of oxygen vacancies in the conducting channel [119], a decrease in their mobility [120], or the minimization of the surface energy by hydrogen and metal ions [122] act as an internal time mechanism. The stochastic nature of ion migration results in these devices having poor repeatability and a low number of possible switches.
Memory devices based on ferroelectric materials, which have reproducibility and a cyclic resource, are a more promising direction for creating memristors of the first and second kind. The ferroelectric memristor [121,123] is a natural development of the FTJ idea. However, instead of two possible states, these devices use one more degree of freedom associated with the domain structure of the ferroelectric film, resulting in an almost continuous spectrum of possible resistance values. Since switching in these devices is completely determined by the change in polarization direction, a very interesting feature of ferroelectric memristors is that the dependence of the ROFF/RON ratio on the switching voltage is similar to the shape of the hysteresis loop curve (Figure 8). It should be emphasized again that this correlation is an excellent criterion for verifying the ferroelectric nature of switching observed in a particular device. Until recently, research in this area was focused on ferroelectric memristors of the first kind, including memristors based on ferroelectric hafnium oxide [112,124]. A ferroelectric memristor of the second kind was first demonstrated in [125].

3. Content-Addressable Memory Based on Ferroelectric Devices

In recent years, ReRAM [126] has become a potential non-volatile memory (NVM) candidate for a next-generation storage system with high read/write speed, low programming voltage, and good scalability. The ReRAM device is usually a three-layer device formed by a metal-insulator-metal package. It can switch from a high resistance state (HRS) to a low resistance state (LRS) with a SET operation, and from LRS to HRS with a RESET operation. ReRAM-based CAMs [126,127,128,129] typically consume higher power during write operations due to their device state switching mechanisms. In addition, ReRAM’s low on-off ratio also results in high detection complexity and cost, since multiple NVM-based CAM cells in the off state can sum up significant discharge current close to on-state currents. These new devices suffer from significant on- and off-state current variations, limiting scalability and reliability. Ferroelectric-based TCAMs are much more compact [130].
A single TCAM cell based on CMOS requires 16 transistors (Figure 9a), occupies a large area, and is volatile, and thus incurs a footprint and leakage energy penalty. BL stands for bit line, SL for search line and WL for write line. TCAMs based on resistive storage elements (Figure 9b) reduce the cell footprint, but suffer from high write energy, low ON/OFF ratios and variation issues. TCAMs based on four CMOS FET and two FeFETs are more energy efficient. TCAMs based on only two FeFETs (Figure 9d) are non-volatile, and achieve the best energy and latency product performance [131]. TCAM cell parameters are shown in Table 3.
FeFETs are in fact MOSFETs with a ferroelectric layer integrated into the gate stack [130,131,132,133,134,135,136,137,138,139]. The interaction between the ferroelectric layer and the gate oxides of the MOSFET results in unique FeFET characteristics. FeFET stores the polarization direction in the ferroelectric layer as a memory state. The direction of polarization changes the threshold voltage VTH FeFET from low to high. It should be noted that the low-level VTH can be set to either a negative or positive value to provide low or high channel resistance with zero gate voltage VG.
Writing in FeFET transistors can be accomplished by applying a voltage to the ferroelectric layer that exceeds the coercive voltage for a certain period of time. In general, a positive (or negative) voltage applied to the gate of an n-type FeFET tends to decrease (increase) the VTH of the device. Polarization switching can be modulated by adjusting the amplitude or duration of the write voltage pulse applied to the gate. A FeFET read can be carried out by detecting the drain current with an applied gate voltage lower than the write voltage to avoid disturbing the read. FeFETs based on hafnium are highly scalable. Reports indicate that FeFETs can exhibit high on/off resistance ratios in excess of 106, implying large memory arrays can be used. FeFETs also exhibit high switching speed, moderate life and moderate write voltage. FeFETs have a high on/off ratio, which is good for scalability. FeFETs do not consume DC power during write and seek operations, which also results in high energy efficiency. The FeFET TCAM design in Figure 9c additionally uses FeFET as both memory and comparator, resulting in very high TCAM density. The more bit mismatches, the faster the ML discharges.
Hafnium-based oxides can be used in FeFETs or tunnel junctions (FTJs) [135,136] using the HfO2 ferroelectric phase. The threshold voltage of FeFET, and hence its drain current, is controlled by the polarization state of the gate dielectric, which can be controlled by appropriate positive and negative values of the gate voltage pulses. Of particular importance for neural network training, FeFETs provide faster writes at a lower voltage than the conceptually similar flash memory. The service life is currently limited to approximately 106–1010 cycles, and improvements require devices, materials, and technological innovations [136]. Based on the SPICE model of double-gate FeFET (2G FeFET) [138], a crossbar model was built that can be used as CAM [139].
Alternatively, ferroelectric tunnel junctions (FTJ) [140] metal-ferroelectric-metal (MFM) can be used, since MFM devices have greater cyclic stability than FeFETs. The HfO2 based FTJ has been developed as a promising non-volatile storage device with high CMOS compatibility, scalability, low power consumption and non-destructive readout. In FTJ, the change in polarization caused by an electric field applied across two electrodes modulates the potential barrier, causing the tunneling electrical resistance effect. FTJ-based switch point arrays with the size of a memory cell have the advantage of high integration density compared to arrays based on 3-terminal FeFET devices.

4. Conclusions

The issues of constructing binary and ternary content-addressable memory (CAM and TCAM) based on ferroelectric devices are considered. A review of ferroelectric materials and devices is undertaken on ferroelectric transistors (FeFET), ferroelectric tunnel diodes (FTJ), and ferroelectric memristors.

Author Contributions

All authors contributed equally to all article parts. All authors have read and agreed to the published version of the manuscript.

Funding

This work was funded by RFBR grant no.19-29-03031 “Logic elements and architecture of information storage and processing chips with artificial intelligence based on two-gate ferroelectric transistors” and was partially supported by the Programs no. FFNN-2022-0020, FFNN-2022-0019 of the Ministry of Science and Higher Education of Russia for Valiev Institute of Physics and Technology of RAS.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Horowitz, M. Computing’s energy problem (and what we can do about it). In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014; pp. 10–14. [Google Scholar]
  2. Ting, T.-K.J.; Wang, G.-B.; Wang, M.-H.; Wu, C.-P.; Wang, C.-K.; Lo, C.-W.; Tien, L.-C.; Yuan, D.-M.; Hsieh, Y.-C.; Lai, J.-S.; et al. An 8-channel 4.5Gb 180GB/s 18ns-row-latency RAM for the last level cache. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, 5– February 2017; pp. 404–405. [Google Scholar]
  3. Wong, H.-S.P.; Lee, H.; Yu, S.; Chen, Y.; Wu, Y.; Chen, P.; Lee, B.; Chen, F.T.; Tsai, M. Metal–oxide RRAM. Proc. IEEE 2012, 100, 1951–1970. [Google Scholar] [CrossRef]
  4. Jeloka, S.; Akesh, N.B.; Sylvester, D.; Blaauw, D. A 28 nm configurable memory (TCAM/BCAM/SRAM) using push-rule 6T bit cell enabling logic-in-memory. IEEE J. Solid-State Circuits 2016, 51, 1009–1021. [Google Scholar]
  5. Do, A.; Yin, C.; Velayudhan, K.; Lee, Z.C.; Yeo, K.S.; Kim, T.T.-H. 0.77 fJ/bit/search content addressable memory using small match line swing and automated background checking scheme for variation tolerance. IEEE J. Solid-State Circuits 2014, 49, 1487–1498. [Google Scholar] [CrossRef]
  6. Chang, M.-F.; Huang, L.-Y.; Lin, W.-Z.; Chiang, Y.-N.; Kuo, C.-C.; Chuang, C.-C.; Yang, K.-H.; Tsai, H.-J.; Chen, T.-F.; Sheu, S.-S. A ReRAM-based 4T2R nonvolatile TCAM using RC-filtered stress-decoupled scheme for frequent-OFF instant-ON search engines used in IoT and big-data processing. IEEE J. Solid-State Circuits 2016, 51, 2786–2798. [Google Scholar]
  7. Ly, D.R.B.; Giraud, B.; Noel, J.-P.; Grossi, A.; Castellani, N.; Sassine, G.; Nodin, J.-F.; Molas, G.; Fenouillet-Beranger, C.; Indiveri, G.; et al. In-depth characterization of resistive memory-based ternary content addressable memories. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 1–4. [Google Scholar]
  8. Chang, M.-F.; Lin, C.-C.; Lee, A.; Chiang, Y.-N.; Kuo, C.-C.; Yang, G.-H.; Tsai, H.-J.; Chen, T.-F.; Sheu, S.-S. A 3T1R nonvolatile TCAM using MLC ReRAM for frequent-off instant-on filters in IoT and big-data processing. IEEE J. Solid-State Circuits 2017, 52, 1664–1679. [Google Scholar] [CrossRef]
  9. Yin, S.; Jiang, Z.; Seo, J.-S.; Seok, M. XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks. IEEE J. Solid-State Circuits 2020, 55, 1733–1743. [Google Scholar] [CrossRef]
  10. Yu, C.; Yoo, T.; Kim, T.T.-H.; Chuan, K.C.T.; Kim, B. A16K current-based 8T SRAM compute-in-memory macro with decoupled read/write and 1–5bit column ADC. In Proceedings of the 2020 IEEE Custom Integrated Circuits Conference (CICC), Boston, MA, USA, 22–25 March 2020; pp. 1–4. [Google Scholar]
  11. Yoo, T.; Kim, H.; Chen, Q.; Kim, T.T.-H.; Kim, B. A logic compatible 4T dual embedded DRAM array for in-memory computation of deep neural networks. In Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Lausanne, Switzerland, 29–31 July 2019; pp. 1–6. [Google Scholar]
  12. Chen, W.-H.; Li, K.-X.; Lin, W.-Y.; Hsu, K.-H.; Li, P.-Y.; Yang, C.-H.; Xue, C.-X.; Yang, E.-Y.; Chen, Y.-K.; Chang, Y.-S.; et al. A 65 nm 1 Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors. In Proceedings of the 2018 IEEE International Solid—State Circuits Conference—(ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 494–495. [Google Scholar]
  13. Zha, Y.; Nowak, E.; Li, J. Liquid silicon: A nonvolatile fully programmable processing-in-memory processor with monolithically integrated ReRAM. IEEE J. Solid-State Circuits 2019, 55, 206–207. [Google Scholar] [CrossRef]
  14. Kohonen, T. Content-Addressable Memories, 2nd ed.; Springer: New York, NY, USA, 1987. [Google Scholar]
  15. Chisvin, L.; Duckworth, R.J. Content-addressable and associative memory: Alternatives to the ubiquitous RAM. IEEE Comput. 1989, 22, 51–64. [Google Scholar] [CrossRef]
  16. Grosspietsch, K.E. Associative processors and memories: A survey. IEEE Micro 1992, 12, 12–19. [Google Scholar] [CrossRef]
  17. Robinson, I.N. Pattern-addressable memory. IEEE Micro 1992, 12, 20–30. [Google Scholar] [CrossRef]
  18. Sivakumar, S.A.; Swedha, A.; Naveen, R. Survey of Content Addressable Memory. Int. J. Creat. Res. Thoughts (IJCRT) 2018, 6, 1516–1526. Available online: https://www.researchgate.net/publication/346646877_Survey_of_Content_Addressable_Memory (accessed on 10 December 2022).
  19. Pei, T.-B.; Zukowski, C. VLSI implementation of routing tables: Tries and CAMs. Proc. IEEE Infocom. 1991, 2, 515–524. [Google Scholar]
  20. Pei, T.-B.; Zukowski, C. Putting routing tables in silicon. IEEE Network Mag. 1992, 6, 42–50. [Google Scholar] [CrossRef]
  21. McAuley, A.J.; Francis, P. Fast routing table lookup using CAMs. Proc. IEEE Infocom. 1993, 3, 1282–1391. [Google Scholar]
  22. Huang, N.-F.; Chen, W.-E.; Luo, J.-Y.; Chen, J.-M. Design of multi-field IPv6 packet classifiers using ternary CAMs. Proc. IEEE Globecom. 2001, 3, 1877–1881. [Google Scholar]
  23. Qin, G.; Ata, S.; Oka, I.; Fujiwara, C. Effective bit selection methods for improving performance of packet classifications on IP routers. Proc. IEEE Globecom. 2002, 2, 2350–2354. [Google Scholar]
  24. Kim, H.; Cho, M.; Lee, S.; Kwon, H.S.; Choi, W.Y.; Kim, Y. Content-Addressable Memory System Using a Nanoelectromechanical Memory Switch. Electronics 2022, 11, 481. [Google Scholar] [CrossRef]
  25. Pagiamtzis, K.; Sheikholeslami, A. Content-addressable memory (CAM) circuits and architectures: A tutorial and survey. IEEE J. Solid-State Circuits 2006, 41, 712–727. [Google Scholar] [CrossRef]
  26. Ni, K.; Yin, X.; Laguna, A.F.; Joshi, S.; Dünkel, S.; Trentzsch, M.; Müller, J.; Beyer, S.; Niemier, M.; Hu, X.S.; et al. Ferroelectric ternary content-addressable memory for one-shot learning. Nat. Electron 2019, 2, 521–529. [Google Scholar] [CrossRef]
  27. Khosla, R.; Sharma, S. Integration of Ferroelectric Materials: An Ultimate Solution for Next2 Generation Computing and Storage Devices. ACS Appl. Electron Mater. 2021, 3, 2862–2897. [Google Scholar] [CrossRef]
  28. Wang, D.; Zhang, Y.; Wang, J.; Luo, C.; Li, M.; Shuai, W.; Tao, R.; Fan, Z.; Chen, D.; Zeng, M.; et al. Enhanced ferroelectric polarization with less wake-up effect and improved endurance of Hf0.5Zr0.5O2 thin films by implementing W electrode. J. Mater. Scie. Technol. 2022, 104, 1. [Google Scholar] [CrossRef]
  29. Shen, Y.; Zhang, Z.; Zhang, Q.; Wei, F.; Yin, H.; Wei, Q.; Men, K. A Gd-doped HfO2 single film for a charge trapping memory device with a large memory window under a low voltage. RSC Adv. 2020, 10, 7812–7816. [Google Scholar] [CrossRef] [Green Version]
  30. Mikolajick, T.; Schroeder, U.; Slesazeck, S. The past, the present, and the future of ferroelectric memories. IEEE Trans. Electron Devices 2020, 67, 1434–1443. [Google Scholar] [CrossRef]
  31. Mitsui, T. Ferroelectrics and Antiferroelectrics; Springer Handbook of Condensed Matter and Materials Data; Martienssen, W., Warlimont, H., Eds.; Springer: Berlin/Heidelberg, Germany, 2005; pp. 903–938. [Google Scholar]
  32. Xu, Y. Ferroelectric Materials and Their Applications; Elsevier: Amsterdam, The Netherlands, 1991. [Google Scholar]
  33. Trolier-McKinstry, S. Impact of ferroelectricity. Am. Ceram. Soc. Bull. 2020, 99, 22–23. [Google Scholar]
  34. Mikolajick, T.; Slesazeck, S.; Mulaosmanovic, H.; Park, M.H.; Fichtner, S.; Lomenzo, P.D.; Hoffmann, M.; Schroeder, U. Next generation ferroelectric materials for semiconductor process integration and their applications. J. Appl. Phys. 2021, 129, 100901. [Google Scholar] [CrossRef]
  35. Valasek, J. Piezo-electric and allied phenomena in Rochelle salt. Phys. Rev. 1921, 17, 475–481. [Google Scholar] [CrossRef]
  36. Fousek, J. Joseph Valasek and the discovery of ferroelectricity. In Proceedings of the 1994 IEEE International Symposium on Applications of Ferroelectrics, University Park, PA, USA, 7–10 August 1994; pp. 1–5. [Google Scholar]
  37. Busch, G.; Scherrer, P. Eine neue seignette-elektrische substanz. Naturwissenschaften 1935, 23, 737. [Google Scholar] [CrossRef]
  38. Thurnaurer, H.; Deaderick, J. Insulating Material. U.S. Patent 2,429,588, 21 October 1947. [Google Scholar]
  39. von Hippel, A.; Breckenbridge, R.G.; Chesley, F.G.; Tisza, L. High dielectric constant ceramics. Ind. Eng. Chem. 1946, 38, 1097–1109. [Google Scholar] [CrossRef]
  40. Wul, B.; Goldman, J.M. Ferroelectric switching in BaTiO3 ceramics. C.R. Acad. Sci. URSS 1946, 51, 21. [Google Scholar]
  41. Buck, D.A. Ferroelectrics for Digital Information Storage and Switching. Ph.D. Thesis, Digital Computer Laboratory, Massachusetts Institute of Technology, Cambridge, MA, USA, 5 June 1952. [Google Scholar]
  42. Anderson, J.R. Ferroelectric materials as storage elements for digital computers and switching systems. Trans. Am. Inst. Electr. Eng. 1953, 71, 395–401. [Google Scholar] [CrossRef]
  43. Merz, J.; Anderson, J. Ferroelectric storage devices. Bell Lab Records 1955, 33, 335–342. [Google Scholar]
  44. Sawa, A.; Fujii, T.; Kawasaki, M.; Tokura, Y. Interface transport properties and resistance switching in perovskite oxide heterojunctions. In Strongly Correlated Electron Materials: Physics and Nanoengineering; Bozovic, I., Pavuna, D., Eds.; SPIE Digital Library: San Diego, CA, USA, 2005; Volume 5932, p. 59322C. [Google Scholar]
  45. Waser, R.; Dittmann, R.; Staikov, G.; Szot, K. Redox-based resistive switching memories–nanoionic mechanisms, prospects, and challenges. Adv. Mater. 2009, 21, 2632–2633. [Google Scholar] [CrossRef]
  46. Bersuker, G.; Gilmer, D.C. Advances in Non-Volatile Memory and Storage Technology; Nishi, Y., Ed.; Woodhead Publishing: Cambridge, UK, 2014; p. 288. [Google Scholar]
  47. Akinaga, H.; Shima, H. Resistive Random Access Memory (ReRAM) based on metal oxides. Proc IEEE 2010, 98, 2237–2251. [Google Scholar] [CrossRef]
  48. Bersuker, G.; Gilmer, D.C.; Veksler, D.; Kirsch, P.; Vandelli, L.; Padovani, A.; Larcher, L.; McKenna, K.; Shluger, A.; Iglesias, V.; et al. Metal oxide resistive memory switching mechanism based on conductive filament properties. J. Appl. Phys. 2011, 110, 124518. [Google Scholar] [CrossRef]
  49. Privitera, S.; Bersuker, G.; Lombardo, S.; Bongiorno, C.; Gilmer, D.C. Conductive filament structure in HfO2 resistive switching memory devices. Solid-State Electron. 2015, 111, 161–165. [Google Scholar] [CrossRef]
  50. Shirane, G.; Takeda, A. Phase transitions in solid solutions of PbZrO3 and PbTiO3 (I) small concentrations of PbTiO3. J. Phys. Soc. Jpn. 1952, 7, 5–11. [Google Scholar] [CrossRef]
  51. Shirane, G.; Suzuki, K.; Takeda, A. Phase transitions in solid solutions of lead zirconate and lead titanate: II. J. Phys. Soc. Jpn. 1952, 7, 12–18. [Google Scholar] [CrossRef]
  52. Ross, I. Semiconductive Translating Device. U.S. Patent 2791760A, 7 May 1957. Available online: https://insight.rpxcorp.com/patent/US2791760A (accessed on 10 December 2022).
  53. Ma, T.P.; Han, J.-P. Why is nonvolatile ferroelectric memory field-effect transistor still elusive? IEEE Electron Device Lett. 2002, 23, 386–388. [Google Scholar] [CrossRef]
  54. Bondurant, D. Ferroelectronic RAM memory family for critical data storage. Ferroelectrics 1990, 112, 273–282. [Google Scholar] [CrossRef]
  55. De Araujo, C.A.-P.; Cuchiaro, J.D.; McMillan, L.D.; Scott, M.C.; Scott, J.F. Fatigue-free ferroelectric capacitors with platinum electrodes. Nature 1995, 374, 627–629. [Google Scholar] [CrossRef]
  56. Desu, S.B. Minimization of fatigue in ferroelectric films. Phys. Status Solidi A 1995, 151, 467–480. [Google Scholar] [CrossRef]
  57. Pinnow, C.-U.; Mikolajick, T. Material aspects in emerging nonvolatile memories. J. Electrochem. Soc. 2004, 151, K13–K19. [Google Scholar] [CrossRef]
  58. McAdams, H.; Acklin, R.; Blake, T.; Du, X.-H.; Eliason, J.; Fong, J.; Kraus, W.; Liu, D.; Madan, S.; Moise, T.; et al. A 64-Mb embedded FRAM utilizing a 130-nm 5LM Cu/FSG logic process. IEEE J. Solid-State Circuits 2004, 39, 667–677. [Google Scholar] [CrossRef]
  59. Koo, J.-M.; Lim, J.-E.; Yoo, D.-C.; Park, S.-O.; Kim, H.-S.; Han, H.; Baik, S.; Choi, J.-Y.; Park, Y.J.; Park, Y.; et al. Fabrication of 3D trench PZT capacitors for 256Mbit FRAM device application. In Proceedings of the IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest, Washington, DC, USA, 5 December 2005; pp. 340–343. [Google Scholar] [CrossRef]
  60. Sakai, S.; Ilangovan, R. Metal-ferroelectric-insulator-semiconductor memory FET with long retention and high endurance. IEEE Electron Device Lett. 2004, 25, 369–371. [Google Scholar] [CrossRef]
  61. Esaki, L.; Laibowitz, R.B.; Stiles, P.J. Polar switch. IBM Tech. Discl. Bull. 1971, 13, 2161. [Google Scholar]
  62. Tsymbal, E.Y.; Kohlstedt, H. Tunneling across a ferroelectric. Science 2006, 313, 181–183. [Google Scholar] [CrossRef]
  63. Gruverman, A.; Wu, D.; Lu, H.; Wang, Y.; Jang, H.W.; Folkman, C.M.; Zhuravlev, M.Y.; Felker, D.; Rzchowski, M.; Eom, C.-B.; et al. Tunneling electroresistance effect in ferroelectric tunnel junctions at the nanoscale. Nano Lett. 2009, 9, 3539–3543. [Google Scholar] [CrossRef] [Green Version]
  64. Tsukazaki, A. A platform for making and transferring oxide films. Nature 2020, 578, 41–42. [Google Scholar] [CrossRef] [Green Version]
  65. Kum, H.S.; Lee, H.; Kim, S.; Lindemann, S.; Kong, W.; Qiao, K.; Chen, P.; Irwin, J.; Lee, J.H.; Xie, S.; et al. Heterogeneous integration of single-crystalline complex-oxide membranes. Nature 2020, 578, 75–81. [Google Scholar] [CrossRef]
  66. Böscke, T.S.; Müller, J.; Bräuhaus, D.; Schröder, U.; Böttger, U. Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors. Int. Electron Devices Meet. 2011, 24, 6131606. [Google Scholar] [CrossRef]
  67. Böscke, T.S.; Müller, J.; Bräuhaus, D.; Schröder, U.; Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 2011, 99, 102903. [Google Scholar] [CrossRef]
  68. Bohr, M.; Chau, R.; Ghani, T.; Mistry, K. The high-k solution: Microprocessors entering production this year are the result of the biggest transistor redesign in 40 years. IEEE Spectr. 2007, 44, 29–35. [Google Scholar] [CrossRef]
  69. Fichtner, S.; Wolff, N.; Lofink, F.; Kienle, L.; Wagner, B. AlScN: A III-V semiconductor based ferroelectric. J. Appl. Phys. 2019, 125, 114103. [Google Scholar] [CrossRef]
  70. Osada, M.; Sasaki, T. The rise of 2D dielectrics/ferroelectrics. APL Mater. 2019, 7, 120902. [Google Scholar] [CrossRef] [Green Version]
  71. Guan, Z.; Hu, H.; Shen, X.; Xiang, P.; Zhong, N.; Chu, J.; Duan, C. Recent progress in two-dimensional ferroelectric materials. Adv. Electron Mater. 2020, 6, 1900818. [Google Scholar] [CrossRef]
  72. Müller, J.; Yurchuk, E.; Schlösser, T.; Paul, J.; Hoffmann, R.; Muller, S.; Martin, D.; Slesazeck, S.; Polakowski, P.; Sundqvist, J.; et al. Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG. In Proceedings of the 2012 Symposium on VLSI Technology (VLSIT), Honolulu, HI, USA, 12–14 June 2012; p. 25. [Google Scholar]
  73. Lee, D.H.; Park, G.H.; Kim, S.H.; Park, J.Y.; Yang, K.; Slesazeck, S.; Mikolajick, T.; Park, M.H. Neuromorphic devices based on fluorite-structured ferroelectrics. InfoMat. 2022, 4, e12380. [Google Scholar] [CrossRef]
  74. Müller, J.; Böscke, T.S.; Schroder, U.; Mueller, S.; Bräuhaus, D.; Böttger, U.; Frey, L.; Mikolajick, T. Ferroelectricity in Simple Binary ZrO2 and HfO2. Nano Lett. 2012, 12, 4318. [Google Scholar] [CrossRef]
  75. Materano, M.; Lomenzo, P.D.; Kersch, A.; Kersch, A.; Park, M.H.; Mikolajick, T.; Schroeder, U. Interplay between oxygen defects and dopants: Effect on structure and performance of HfO2-based ferroelectrics. Inorg. Chem. Front. 2021, 8, 2650. [Google Scholar] [CrossRef]
  76. Chen, H.; Zhou, X.; Tang, L.; Chang, Y.; Lu, H.; Yuan, X.; Bowen, C.R.; Zhang, D. HfO2-based ferroelectrics: From enhancing performance, material design, to applications. Appl. Phys. Rev. 2022, 9, 011307. [Google Scholar] [CrossRef]
  77. Migita, S.; Ota, H.; Shibuya, K.; Yamada, H.; Sawa, A.; Matsukawa, T.; Toriumi, A. Phase transformation behavior of ultrathin Hf0.5Zr0.5O2 films investigated through wide range annealing experiments. Jpn. J. Appl. Phys. 2019, 58, SBBA07. [Google Scholar] [CrossRef]
  78. Kim, H.J.; Park, M.H.; Kim, Y.J.; Lee, Y.H.; Jeon, W.; Gwon, T.; Moon, T.; Kim, K.D.; Hwang, C.S. Grain size engineering for ferroelectric Hf0.5Zr0.5O2 films by an insertion of Al2O3 interlayer. Appl. Phys. Lett. 2014, 105, 192903. [Google Scholar] [CrossRef]
  79. Riedel, S.; Polakowski, P.; Müller, J. A thermally robust and thickness independent ferroelectric phase in laminated hafnium zirconium oxide. AIP Adv. 2016, 6, 095123. [Google Scholar] [CrossRef] [Green Version]
  80. Lee, J.; Eom, D.; Lee, C.; Lee, W.; Oh, J.; Park, C.; Kim, J.; Lee, H.; Lee, S.; Lee, E.; et al. Sublayer thickness dependence of nanolaminated HfO2–Al2O3 films for ferroelectric phase stabilization. Appl. Phys. Lett. 2022, 120, 222902. [Google Scholar] [CrossRef]
  81. Popov, V.; Antonov, V.; Tikhonenko, F.; Tarkov, S.; Gutakovskii, A.; Tyschenko, I.; Miakonkikh, A.; Lomov, A.; Rogozhin, A.; Rudenko, K. Robust Semiconductor-on-Ferroelectric Structures with Hafnia-Zirconia-Alumina UTBOX Stacks Compatible with the CMOS Technology. J. Phys. D Appl. Phys. 2021, 54, 225101. [Google Scholar] [CrossRef]
  82. Popov, V.P.; Antonov, V.A.; Tyschenko, I.E.; Vdovin, V.I.; Gutakovskii, A.K.; Miakonkikh, A.V.; Rudenko, K.V. Hafnia and alumina stacks as UTBOXs in silicon-on insulator structures. Solid State Electron 2020, 168, 107734. [Google Scholar] [CrossRef]
  83. Popov, V.P.; Antonov, V.A.; Tikhonenko, F.V.; Myakonkikh, A.V.; Rudenko, K.V. Structure evolution and charge hysteresis in buried Hafnia-Alumina oxides. Solid State Electron. 2022, 86, 2023. [Google Scholar]
  84. Chesnokov, Y.M.; Miakonkikh, A.V.; Rogozhin, A.E.; Rudenko, K.V.; Vasiliev, A.L. Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition. J. Mater. Sci. 2018, 53, 7214–7223. [Google Scholar] [CrossRef]
  85. Okuno, J.; Kunihiro, T.; Konishi, K.; Shuto, Y.; Sugaya, F.; Materano, M.; Ali, T.; Lederer, M.; Kuehnel, K.; Seidel, K.; et al. Reliability Study of 1T1C FeRAM Arrays with Hf0.5Zr0.5O2 Thickness Scaling. IEEE J. Electron Devices Soc. 2022, 10, 778–783. [Google Scholar] [CrossRef]
  86. Francois, T.; Coignus, J.; Makosiej, A.; Giraud, B.; Carabasse, C.; Barbot, J.; Martin, S.; Castellani, N.; Magis, T.; Grampeix, H.; et al. High-Performance Operation and Solder Reflow Compatibility in BEOL-Integrated 16-kb HfO2: Si-Based 1T-1C FeRAM Arrays. IEEE Trans. Electron Dev. 2022, 69, 2108–2114. [Google Scholar] [CrossRef]
  87. Slesazeck, S.; Havel, V.; Breyer, E.; Mulaosmanovic, H.; Hoffmann, M.; Max, B.; Duenkel, S.; Mikolajick, T. Uniting the trinity of ferroelectric HfO2 memory devices in a single memory cell. In Proceedings of the 2019 IEEE 11th International Memory Workshop (IMW), Monterey, CA, USA, 12–15 May 2019; pp. 1–4. [Google Scholar] [CrossRef]
  88. Polakowski, P.; Riedel, S.; Weinreich, W.; Rudolf, M.; Sundqvist, J.; Seidel, K.; Muller, J. Ferroelectric deep trench capacitors based on Al:HfO2 for 3D nonvolatile memory applications. In Proceedings of the 2014 IEEE 6th International Memory Workshop (IMW), Taipei, Taiwan, 18–21 May 2014; pp. 1–4. [Google Scholar] [CrossRef]
  89. Ali, T.; Kühnel, K.; Olivo, R.; Lehninger, D.; Müller, F.; Lederer, M.; Rudolf, M.; Oehler, S.; Martens, K.; Hoffmann, R.; et al. Impact of the Ferroelectric Stack Lamination in Single Doped Hafnium Oxide (HSO) and Hafnium Zirconium Oxide (HZO) Based FeFETs: Toward High-Density Multi-Level Cell and Synaptic Storage. Electron Mater. 2021, 2, 344–369. [Google Scholar] [CrossRef]
  90. Choe, G.; Yu, S. Multigate Ferroelectric Transistor Design Toward 3-nm Technology Node. IEEE TED 2021, 68, 5908–5911. [Google Scholar] [CrossRef]
  91. Dünkel, S.; Trentzsch, M.; Richter, R.; Moll, P.; Fuchs, C.; Gehring, O.; Majer, M.; Wittek, S.; Müller, B.; Melde, T.; et al. A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar]
  92. Tan, A.J.; Liao, Y.H.; Wang, L.C.; Shanker, N.; Bae, J.; Hu, C.; Salahuddin, S. Ferroelectric HfO2 Memory Transistors with High-κ Interfacial Layer and Write Endurance Exceeding 1010 Cycles. IEEE Electron Device Lett. 2021, 42, 994–997. [Google Scholar] [CrossRef]
  93. Liu, Y.S.; Su, P. Variability analysis for ferroelectric FET nonvolatile memories considering random ferroelectric-dielectric phase distribution. IEEE Electron Device Lett. 2020, 41, 369–372. [Google Scholar] [CrossRef]
  94. Sharma, A.; Roy, K. 1T non-volatile memory design using sub-10nm ferroelectric FETs. IEEE Electron Device Lett. 2018, 39, 359–362. [Google Scholar] [CrossRef]
  95. Mulaosmanovic, H.; Slesazeck, S.; Ocker, J.; Pesic, M.; Muller, S.; Flachowsky, S.; Muller, J.; Polakowski, P.; Paul, J.; Jansen, S.; et al. Evidence of single domain switching in hafnium oxide based FeFETs: Enabler for multi-level FeFET memory cells. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015. [Google Scholar] [CrossRef]
  96. Mulaosmanovic, H.; Breyer, E.T.; Mikolajick, T.; Slesazeck, S. Ferroelectric FETs with 20-nm-thick HfO2 layer for large memory window and high performance. IEEE Trans. Electron Devices 2019, 66, 3828–3833. [Google Scholar] [CrossRef]
  97. Tarkov, M.; Leushin, A.; Tikhonenlo, F.; Tyschenko, I.; Antonov, V.; Popov, V.; Miakonkikh, A.; Rudenko, K. Logic Elements and Crossbar Architecture Based on SOI Two-Gate Ferroelectric Transistors. In Proceedings of the 2020 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS) 2020, Caen, France, 1–30 September 2020; pp. 1–4. [Google Scholar] [CrossRef]
  98. Popov, V.P.; Tikhonenko, F.V.; Antonov, V.A.; Tolmachev, K.A.; Lomov, A.A.; Miakonkikh, A.V.; Rudenko, K.V. Structure evolution and charge hysteresis in buried Hafnia-Alumina oxides. Solid State Electron. 2022, 194, 108348. [Google Scholar] [CrossRef]
  99. Sharma, A.A.; Doyle, B.; Yoo, H.J.; Tung, I.-C.; Kavalieros, J.; Metz, M.V.; Reshotko, M.; Majhi, P.; Brown-Heft, T.; Chen, Y.-J.; et al. High speed memory operation in channel-last, back-gated ferroelectric transistors. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020. [Google Scholar]
  100. Schenk, T.; Mueller, S. A new generation of memory devices enabled by ferroelectric hafnia and zirconia. In Proceedings of the 2021 IEEE International Symposium on Applications of Ferroelectrics (ISAF), Sydney, Australia, 16–21 May 2021. [Google Scholar]
  101. Pešić, M.; Padovani, A.; Rollo, T. Variability and disturb sources in ferroelectric 3D NANDs and comparison to Charge-Trap equivalent. In Proceedings of the 2022 IEEE International Memory Workshop (IMW), Dresden, Germany, 15–18 May 2022. [Google Scholar]
  102. Hsiang, K.-Y.; Liao, C.-Y.; Lin, Y.-Y.; Lou, Z.-F.; Lin, C.-Y.; Lee, J.-Y.; Chang, F.-S.; Li, Z.-X.; Tseng, H.-C.; Wang, C.-C.; et al. Correlation between Access Polarization and High Endurance (~1012 cycling) of Ferroelectric and AntiFerroelectric HfZrO2. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022. [Google Scholar]
  103. Soliman, T.; Müller, F.; Kirchner, T.; Hoffmann, T.; Ganem, h.; Karimov, E.; Ali, T.; Lederer, M.; Sudarshan, C.; Kampfe, T.; et al. Ultra-Low Power Flexible Precision Event Based Analog In-Memory Computing. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020. [Google Scholar]
  104. Chen, A. A review of emerging non-volatile memory (NVM) technologies and applications. Solid-State Electron. 2016, 125, 25–38. [Google Scholar] [CrossRef]
  105. Zhuravlev, M.; Sabirianov, R.F.; Jaswal, S.S.; Tsymbal, E.Y. Giant electroresistance in ferroelectric tunnel junctions. Phys. Rev. Lett. 2005, 94, 246802. [Google Scholar] [CrossRef] [Green Version]
  106. Kohlstedt, H.; Pertsev, N.A.; Contreras, J.R.; Waser, R. Theoretical current-voltage characteristics of ferroelectric tunnel junctions. Phys. Rev. B 2005, 72, 125341. [Google Scholar] [CrossRef] [Green Version]
  107. Yamada, H.; Tsurumaki-Fukuchi, A.; Kobayashi, M.; Nagai, T.; Toyosaki, Y.; Kumigashira, H.; Sawa, A. Strong Surface-Termination Effect on Electroresistance in Ferroelectric Tunnel Junctions. Adv. Funct. Mater. 2015, 25, 2708–2714. [Google Scholar] [CrossRef]
  108. Tsurumaki-Fukuchi, A.; Yamada, H.; Sawa, A. Resistive switching artificially induced in a dielectric/ferroelectric composite diode. Appl. Phys. Lett. 2013, 103, 152903. [Google Scholar] [CrossRef]
  109. Wen, Z.; Li, C.; Di Wu, D.; Li, A.; Ming, N. Ferroelectric-field-effect-enhanced electroresistance in metal/ferroelectric/semiconductor tunnel junctions. Nat. Mater. 2013, 12, 617–621. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  110. Tsymbal, E.Y.; Gruverman, A. Ferroelectric tunnel junctions: Beyond the barrier. Nat. Mater. Nat. Publ. Group 2013, 12, 602–604. [Google Scholar] [CrossRef] [PubMed]
  111. Tagantsev, A.K.; Stolichnov, I.; Setter, N.; Cross, J.S. Nature of nonlinear imprint in ferroelectric films and long-term prediction of polarization loss in ferroelectric memories. J. Appl. Phys. 2004, 96, 6616–6623. [Google Scholar] [CrossRef]
  112. Yoon, S.-J.; Na, S.-Y.; Moon, S.-E.; Yoon, S.-M. Polarization switching kinetics of the ferroelectric Al-doped HfO 2 thin films prepared by atomic layer deposition with different ozone doses. J. Vac. Sci. Technol. B 2019, 37, 050601. [Google Scholar] [CrossRef]
  113. Starschich, S.; Menzel, S.; Böttger, U. Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide. Appl. Phys. Lett. 2016, 108, 032903. [Google Scholar] [CrossRef] [Green Version]
  114. Jo, S.H.; Chang, T.; Ebong, I.; Bhadviya, B.B.; Mazumder, P.; Lu, W. Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett. 2010, 10, 1297–1301. [Google Scholar] [CrossRef]
  115. Yu, S.; Wu, Y.; Jeyasingh, R.; Kuzum, D.; Wong, H.-S.P. An electronic synapse device based on metal oxide resistive switching memory for neuromorphic computation. IEEE Trans. Electron Devices 2011, 58, 2729–2737. [Google Scholar] [CrossRef]
  116. Wang, Z.; Xu, H.Y.; Li, X.H.; Yu, H.; Liu, Y.C.; Zhu, X.J. Synaptic learning and memory functions achieved using oxygen ion migration/diffusion in an amorphous InGaZnO memristor. Adv. Funct. Mater. 2012, 22, 2759–2765. [Google Scholar] [CrossRef]
  117. Krzysteczko, P.; Münchenberger, J.; Schäfers, M.; Reiss, G.; Thomas, A. The memristive magnetic tunnel junction as a nanoscopic synapse-neuron system. Adv. Mater. 2012, 24, 762–766. [Google Scholar] [CrossRef] [PubMed]
  118. Kim, S.; Du, C.; Sheridan, P.; Ma, W.; Choi, S.; Lu, W.D. Experimental demonstration of a second-order memristor and its ability to biorealistically implement synaptic plasticity. Nano Lett. 2015, 15, 2203–2211. [Google Scholar] [CrossRef] [PubMed]
  119. Du, C.; Ma, W.; Chang, T.; Sheridan, P.; Lu, W.D. Biorealistic Implementation of Synaptic Functions with Oxide Memristors through Internal Ionic Dynamics. Adv. Funct. Mater. 2015, 25, 4290–4299. [Google Scholar] [CrossRef]
  120. Wang, Z.; Joshi, S.; Savel’Ev, S.E.; Jiang, H.; Midya, R.; Lin, P.; Hu, M.; Ge, N.; Strachan, J.P.; Li, Z.; et al. Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing. Nat. Mater. 2017, 16, 101–108. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  121. Chanthbouala, A.; Garcia, V.; Cherifi, R.O.; Bouzehouane, K.; Fusil, S.; Moya, X.; Xavier, S.; Yamada, H.; Deranlot, C.; Mathur, N.D.; et al. A ferroelectric memristor. Nat. Mater. 2012, 11, 860. [Google Scholar] [CrossRef] [Green Version]
  122. Nicholls, J.G. From Neuron to Brain, 5th ed.; Sinauer Associates, Inc.: Sunderland, MA, USA, 2011; 621p. [Google Scholar]
  123. Kim, D.J.; Lu, H.; Ryu, S.; Bark, C.W.; Eom, C.-B.; Tsymbal, E.; Gruverman, A. Ferroelectric tunnel memristor. Nano Lett. 2012, 12, 5697–5702. [Google Scholar] [CrossRef]
  124. Goh, Y.; Jeon, S. Enhanced tunneling electroresistance effects in HfZrO-based ferroelectric tunnel junctions by high-pressure nitrogen annealing. Appl. Phys. Lett. 2018, 113, 052905. [Google Scholar] [CrossRef]
  125. Mikheev, V.; Chouprik, A.; Lebedinskii, Y.; Zarubin, S.; Matveyev, Y.; Kondratyuk, E.; Kozodaev, M.G.; Markeev, A.M.; Zenkevich, A.; Negrov, D. Ferroelectric Second-Order Memristor. ACS Appl. Mater. Interfaces 2019, 11, 32108–32114. [Google Scholar] [CrossRef]
  126. Chen, Y.; Lu, L.; Kim, B.; Kim, T.T.-H. A Reconfigurable 4T2R ReRAM Computing In-Memory Macro for Efficient Edge Applications. IEEE Open J. Circuits Syst. 2021, 2, 210–222. [Google Scholar] [CrossRef]
  127. Nithya, N.; Paramasivam, K. A Comprehensive Study on the Characteristics, Complex Materials and Applications of Memristor. IEEE Open J. Circuits Syst. 2020, 2, 171–176. [Google Scholar] [CrossRef]
  128. Wang, L.; Yang, C.H.; Wen, J.; Gai, S.; Peng, Y.X. Overview of emerging memristor families from resistive memristor to spintronic memristor. J. Mater. Sci. Mater. Electron 2015, 26, 4618–4628. [Google Scholar] [CrossRef] [Green Version]
  129. Tarkov, M.S. Crossbar-Based Hamming Associative Memory with Binary Memristors. Adv. Neural Netw. 2018, 10878, 380–387. [Google Scholar]
  130. Kazemi, A.; Sharifi, M.M.; Laguna, A.F.; Muller, F.; Rajaei, R.; Olivo, R.; Kampfe, T.; Niemier, M.; Hu, X.S. In-Memory Nearest Neighbor Search with FeFET Multi-Bit Content-Addressable Memories. In Proceedings of the 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 1–5 February 2021; pp. 1084–1089. [Google Scholar] [CrossRef]
  131. Zhang, H.; Cao, S.; Yang, H.; Li, X. Dynamic Ternary Content-Addressable Memory Is Indeed Promising: Design and Benchmarking Using Nanoelectromechanical Relays. arXiv 2021, arXiv:2101.06375. [Google Scholar]
  132. Khan, A.I.; Yeung, C.W.; Hu, C.; Salahuddin, S. Ferroelectric negative capacitance MOSFET: Capacitance tuning antiferroelectric operation. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011. [Google Scholar]
  133. George, S.; Ma, K.; Aziz, A.; Li, X.; Khan, A.; Salahuddin, S.; Chang, M.-F.; Datta, S.; Sampson, J.; Gupta, S.; et al. Nonvolatile Memory Design Based on Ferroelectric FETs. In Proceedings of the 2016 53nd DAC, Austin, TX, USA, 5–9 June 2016; pp. 1–6. [Google Scholar]
  134. Ni, K.; Sharma, P.; Zhang, J.; Jerry, M.; Smith, J.A.; Tapily, K.; Clark, R.; Mahapatra, S.; Datta, S. Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance. IEEE Trans. Electron Devices 2018, 65, 2461–2469. [Google Scholar] [CrossRef]
  135. Xiu, N.; Chen, Y.; Yin, G.; Ma, X.; Yang, H.; George, S.; Li, X. Capacitive Content-Addressable Memory: A Highly Reliable and Scalable Approach to Energy-Efficient Parallel Pattern Matching Applications. In Proceedings of the GLSVLSI’ 21: 2021 on Great Lakes Symposium on VLSI, Orange County, CA, USA, 6–8 June 2021; pp. 479–484. [Google Scholar] [CrossRef]
  136. Yin, X.; Reis, D.; Niemier, M.; Hu, X.S. Ferroelectric FET Based TCAM Designs for Energy Efficient Computing. In Proceedings of the 2019 ISVLSI, Miami, FL, USA, 15–17 July 2019; pp. 437–442. [Google Scholar]
  137. Brooks, D.; Frank, M.M.; Gokmen, T.; Gupta, U.; Hu, X.S.; Jain, S.; Laguna, A.F.; Niemier, M.; O’Connor, I.; Raghunathan, A.; et al. Emerging Neural Workloads and Their Impact on Hardware. In Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 9–13 March 2020; pp. 1462–1471. [Google Scholar] [CrossRef]
  138. Tarkov, M.S. Two-Gate FeFET SPICE Model and Its Application to Construction of Adaptive Adder. In Proceedings of the 2021 Ural Symposium on Biomedical Engineering, Radioelectronics and Information Technology (USBEREIT), Yekaterinburg, Russia, 13–14 May 2021; p. 502. [Google Scholar] [CrossRef]
  139. Tarkov, M.S. SPICE Model of Analog Content-Addressable Memory Based on 2G FeFET Crossbar. In Advances in Neural Computation, Machine Learning, and Cognitive Research VI. Neuroinformatics 2022; Studies in Computational Intelligence; Springer: Cham, Switzerland, 2023; Volume 1064, pp. 271–276. [Google Scholar] [CrossRef]
  140. Lim, S.; Goh, Y.; Lee, Y.K.; Ko, D.H.; Hwang, J.; Kim, M.; Jeong, Y.; Shin, H.; Jeon, S.; Jung, S.-O. A Highly Integrated Crosspoint Array Using Self-rectifying FTJ for Dual-mode Operations: CAM and PUF. In Proceedings of the ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 113–116. [Google Scholar] [CrossRef]
Figure 1. CAM block diagram [18].
Figure 1. CAM block diagram [18].
Nanomaterials 12 04488 g001
Figure 2. TCAM cell [24].
Figure 2. TCAM cell [24].
Nanomaterials 12 04488 g002
Figure 3. (a) FeRAM, (b) FeFET, and (c) FTJ [34].
Figure 3. (a) FeRAM, (b) FeFET, and (c) FTJ [34].
Nanomaterials 12 04488 g003
Figure 4. Classic ferroelectric materials: Pb(Zr,Ti)O3, SrBi2Ta2O9, and BiFeO3 [34].
Figure 4. Classic ferroelectric materials: Pb(Zr,Ti)O3, SrBi2Ta2O9, and BiFeO3 [34].
Nanomaterials 12 04488 g004
Figure 5. FeFET design change to improve field uniformity in ferroelectric along the channel. Copyright © 2020, IEEE [99].
Figure 5. FeFET design change to improve field uniformity in ferroelectric along the channel. Copyright © 2020, IEEE [99].
Nanomaterials 12 04488 g005
Figure 6. Various ferroelectric devices with a three-terminal structure (upper row) and corresponding characteristics of synaptic plasticity (lower row). (A) MFIS, (B) (left) MFMIS and (right) MFMIS with the MFM capacitor integrated into the BEOL and the underlying MOSFET integrated into the FEOL, and (C) FeTFT. Schematic of FeFETs with different channel geometries and synaptic potentiation (a) or depression (b) [73].
Figure 6. Various ferroelectric devices with a three-terminal structure (upper row) and corresponding characteristics of synaptic plasticity (lower row). (A) MFIS, (B) (left) MFMIS and (right) MFMIS with the MFM capacitor integrated into the BEOL and the underlying MOSFET integrated into the FEOL, and (C) FeTFT. Schematic of FeFETs with different channel geometries and synaptic potentiation (a) or depression (b) [73].
Nanomaterials 12 04488 g006
Figure 8. (ac) An example of logarithmic ROFF/RON and polarization, respectively, as a function of switching voltage Copyright © 2012, 2019 American Chemical Society [122,123,124].
Figure 8. (ac) An example of logarithmic ROFF/RON and polarization, respectively, as a function of switching voltage Copyright © 2012, 2019 American Chemical Society [122,123,124].
Nanomaterials 12 04488 g008
Figure 9. TCAM cell designs based on: (a) CMOS static random access memory required 16 transistors, BL, bit line, ML, pre-charged matchline, SL, search line, WL, write line, (b) based on resistive storage elements, (c) based on four CMOS FET and two FeFETs, (d) based on two FeFETs [https://arxiv.org/abs/2101.06375 Access Date: 10 December 2022].
Figure 9. TCAM cell designs based on: (a) CMOS static random access memory required 16 transistors, BL, bit line, ML, pre-charged matchline, SL, search line, WL, write line, (b) based on resistive storage elements, (c) based on four CMOS FET and two FeFETs, (d) based on two FeFETs [https://arxiv.org/abs/2101.06375 Access Date: 10 December 2022].
Nanomaterials 12 04488 g009
Table 1. Comparison of ferroelectric materials: PZT, SBT, BFO, doped HfO2, AlxSc1−xN [34].
Table 1. Comparison of ferroelectric materials: PZT, SBT, BFO, doped HfO2, AlxSc1−xN [34].
FerroelectricsPb(Zr,Ti)O3SrBi2Ta2O9BiFeO3Doped HfO2 HfxZr1−xO2AlxSc1−xN8
Pr (μC/cm2)10–405–1090–95 (along [111])10–4080–110
Ec (kV/cm)50–7030–50100–1500800–20002000–5000
ε0∼400∼200∼50∼30∼25
Endurance (cycles)>1 × 1015
on oxide electrode
Good on Pt electrodeGood on oxide electrode>1 × 1011 on TiN>1 × 105 a
Min. physical thickness (nm)50 <5<50 a
Crystallization temperature (°C)LowHighLow400–800300–400
Curie temperature (°C)∼400∼400∼7000–500>600
a Early results, improvements expected.
Table 2. Key characteristics according to representative polymorphs of fluorite-structured HfO2 and ZrO2 [73].
Table 2. Key characteristics according to representative polymorphs of fluorite-structured HfO2 and ZrO2 [73].
MonoclinicOrthorhombicTetragonal
Crystal structure Nanomaterials 12 04488 i001Nanomaterials 12 04488 i002Nanomaterials 12 04488 i003Nanomaterials 12 04488 i004
PropertyDielectricFerroelectricDielectric
Polarization0Pr ~ 50–60 μC cm−20
Dielectric constant19–2524–2924–57
Space groupP21/cPca21P42/nmc
Table 3. TCAM cell parameters [26].
Table 3. TCAM cell parameters [26].
16T CMOS2T-2R2FeFET
Cell area (μm2)1.120.280.15
Write energy
(fJ per bit)
4.87201.4
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Tarkov, M.; Tikhonenko, F.; Popov, V.; Antonov, V.; Miakonkikh, A.; Rudenko, K. Ferroelectric Devices for Content-Addressable Memory. Nanomaterials 2022, 12, 4488. https://doi.org/10.3390/nano12244488

AMA Style

Tarkov M, Tikhonenko F, Popov V, Antonov V, Miakonkikh A, Rudenko K. Ferroelectric Devices for Content-Addressable Memory. Nanomaterials. 2022; 12(24):4488. https://doi.org/10.3390/nano12244488

Chicago/Turabian Style

Tarkov, Mikhail, Fedor Tikhonenko, Vladimir Popov, Valentin Antonov, Andrey Miakonkikh, and Konstantin Rudenko. 2022. "Ferroelectric Devices for Content-Addressable Memory" Nanomaterials 12, no. 24: 4488. https://doi.org/10.3390/nano12244488

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop