Next Article in Journal
Recent Trends in Structures and Interfaces of MEMS Transducers for Audio Applications: A Review
Next Article in Special Issue
Research on Configuration Design Optimization and Trajectory Planning of Manipulators for Precision Machining and Inspection of Large-Curvature and Large-Area Curved Surfaces
Previous Article in Journal
Research Progress of AlGaN-Based Deep Ultraviolet Light-Emitting Diodes
Previous Article in Special Issue
Trajectory Strategy Effects on the Material Characteristics in the WAAM Technique
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Inductively Coupled Plasma Dry Etching of Silicon Deep Trenches with Extremely Vertical Smooth Sidewalls Used in Micro-Optical Gyroscopes

1
State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074, China
2
Shanghai Aerospace Control Technology Institute, Shanghai 201109, China
*
Authors to whom correspondence should be addressed.
Micromachines 2023, 14(4), 846; https://doi.org/10.3390/mi14040846
Submission received: 7 March 2023 / Revised: 10 April 2023 / Accepted: 11 April 2023 / Published: 14 April 2023
(This article belongs to the Special Issue Advanced Manufacturing Technology and Systems, 2nd Edition)

Abstract

:
Micro-optical gyroscopes (MOGs) place a range of components of the fiber-optic gyroscope (FOG) onto a silicon substrate, enabling miniaturization, low cost, and batch processing. MOGs require high-precision waveguide trenches fabricated on silicon instead of the ultra-long interference ring of conventional F OGs. In our study, the Bosch process, pseudo-Bosch process, and cryogenic etching process were investigated to fabricate silicon deep trenches with vertical and smooth sidewalls. Different process parameters and mask layer materials were explored for their effect on etching. The effect of charges in the Al mask layer was found to cause undercut below the mask, which can be suppressed by selecting proper mask materials such as SiO2. Finally, ultra-long spiral trenches with a depth of 18.1 μm, a verticality of 89.23°, and an average roughness of trench sidewalls less than 3 nm were obtained using a cryogenic process at −100 °C.

1. Introduction

As the core of an inertial navigation system (INS), the gyroscope is responsible for providing angular velocity to assist in the calculation of velocity, position, and attitude information [1,2,3]. The interferometric fiber-optic gyroscope (IFOG) utilizes the Sagnac effect to measure rotational motions with light [4,5,6,7]. The interferometric ring inside the IFOG has two counterpropagating light beams with the same frequency, which will generate a phase difference as the IFOG rotates with the system, from which the angular velocity can be deduced. A longer interferometric ring is able to sense slighter motion, meaning a higher sensitivity of the gyroscope, but the resulting larger size limits further widespread applications [8,9,10]. With the development of optoelectronic and micro/nano processing technology, the miniaturization of optical gyroscopes became a major subject, and the micro-optical gyroscope (MOG) was launched [11,12]. The MOG relocates the entire set of FOG components, such as the photodetector, detection circuit, and fiber-optic waveguide, onto a substrate, typically silicon, integrating them into a monolithic device [12,13,14]. The migration of the ultra-long interference ring onto the silicon substrate is an essential part of the integration, as the accuracy of the ring directly affects the performance of the gyroscope [15]. Poor sidewall morphology of the silicon waveguide trenches can cause light wave loss when light passes through the trenches, thus decreasing measurement sensitivity and accuracy.
The fabrication technologies of silicon deep trenches include femtosecond laser processing [16,17,18], mechanical machining [19,20], and inductively coupled plasma (ICP) etching [21,22,23]. Femtosecond laser processing focuses a laser beam on the surface of a workpiece through an optical system, thereby melting and vaporizing the material to fabricate micro/nano structures. Crawford et al. [24] used 150 fs pulses with a central wavelength of 800 nm to machine silicon trenches up to 35 µm in depth, but with terrible verticality and roughness of sidewalls. Femtosecond laser technology works directly on the target material without additional masks, but it is not efficient for machining large-area microstructures due to the point-by-point scanning characteristic of the laser beam. The scanning speed of the laser beam used by Crawford is 0.5 mm/s max, clearly not applicable to the MOG waveguide, which may be over 30 m long. Xie et al. [25] attempted to process large areas, but SEM images showed that the uniformity of trenches was not satisfactory. Aurich et al. [20] investigated microgrinding to fabricate trenches with a roughness of only 10 nm. However, this method, depending on the tool’s geometry, makes it difficult to machine high aspect ratio trenches. Meanwhile, the continuous cutting workload damages the tools significantly and is unsuitable for batch production.
ICP etching manufactures various semiconductor micro/nano structures on the substrates, such as Si, SiO2, SiC, and diamond, by sputtering the substrate with plasma generated from ionized appropriate gas (glow discharge), which is widely used in integrated circuits, MEMS, optics, etc. [26,27,28]. ICP etchers normally contain two radio frequency (RF) sources with a frequency of 13.56 MHz, serving as an ICP generator and a capacitively coupled plasma (CCP) generator, respectively. During ICP etching, the chosen gas flowing into the working chamber is ionized by the top ICP generator to produce numerous plasma-containing charged particles, radicals, and neutral atomic molecules [29,30,31]. The reactive plasma bombards the wafer vertically downward, accelerated by a DC bias voltage applied from the bottom CCP generator (RF power), enabling removal of substrate material physically and chemically. ICP etching is commonly accompanied by a lithography process, leading to complex operating procedures. However, the advantage of its simultaneous molding structure makes it suitable for the fabrication of large-area complex microstructures, such as the ultra-long spiral trench in this experiment. Gerlt et al. [32] presented an optimized three-step Bosch process, allowing the fabrication of structures with 6μm width at depths up to 180μm, but the researchers did not attend to the sidewall roughness. Michael [33] used the pseudo-Bosch process to machine silicon pillars with a minimum surface roughness of 5 nm but only 800 nm in depth. These show that manufacturing deep microstructures with smooth surface and vertical sidewalls remains challenging.
In this paper, we explored different etching methods to achieve deep silicon trenches with high verticality and low roughness. Photoresist, Al, and SiO2 were selected as masks for different etching processes. A Partial Least Squares (PLS) regression model was proposed to investigate the relationship between process parameters and the etching profile.

2. Materials and Methods

2.1. Materials

The silicon wafers from RDMICRO company (Suzhou, China) are 6-inch, crystal phase <100>, and 1500 μm thick. All silicon samples were cleaned sequentially with acetone, isopropanol, and anhydrous ethanol by ultrasonication for 3 min and baked at 100℃ for 10 min before the experiment. AZ4620 is a thick photoresist (PR) used as a soft mask during the Bosch process, and AZ5214 is a thin PR used in preparation of hard mask layers. The developer AZ400K was diluted with deionized (DI) water at 1:4 for use. The three materials above were sourced from AZ Electronic Materials (Luxembourg). The N-Methylpyrrolidone (NMP) solution (99.9% purity) dissolved the photoresist for the purpose of removal and was purchased from Shanghai Aladdin (Shanghai, China).

2.2. Experimental Equipment

In this experiment, electron beam evaporation deposition (F.S.E. Corporation. FU-121, New Taipei, China) was used to plate Al films. The SiO2 films on silicon wafers were grown on a PECVD device (Oxford, Plasma Pro System 100, Yatton, UK). The Bosch process and the pseudo-Bosch etching were carried out on the Plasma lab system 100 ICP 180 (Oxford, Yatton, UK), while the cryogenic etching process was investigated on the Estrelas 100 (Oxford, Yatton, UK). The tray in the working chamber of the latter is connected to a liquid nitrogen cooling system for cryogenic control of wafers.

2.3. Methods

2.3.1. Geometry Design of MOG Waveguide Trenches

The MOG waveguide adopted the Archimedean spiral structure, satisfying both ultra-long length and low footprint. Also, the Archimedean spiral, known as the arithmetic spiral, ensures a constant trench width and pitch between adjacent trenches. The designed dimensions of the trench are 6 μm wide, 18 μm deep, and 24 μm apart, as shown in Figure 1. The spiral ring has a total length of 37.34 m with 250 laps (n = 250), using generated path data by MATLAB R2019a and drawn by AutoCAD 2020 and SOLIDWORKS 2016. The polar equations for the inner ring ρ 1 and outer ring ρ 2 are as follows (in mm):
ρ 1 = 20 + 0.015 π * θ ρ 2 = 20.006 + 0.015 π * θ   ,   θ [ 0 , 2 π * 250 ]

2.3.2. ICP Etching of MOG Spiral Trenches

The three processes considered in this experiment, i.e., the Bosch process, pseudo-Bosch process, and cryogenic etching, are different in terms of the etchant gas type and the entry sequence into the reactor chamber, resulting in variations in their etching mechanisms.
The Bosch process, with SF6 as the etching gas and C4F8 as the passivation gas used alternately in the reactor chamber, cycles the etching and passivation steps for deep silicon etching [34,35,36,37]. During the passivation cycle, C4F8 is ionized by ICP power and deposited on all exposed surfaces forming a fluorocarbon polymer to prevent erosion. In the subsequent etching period, SF6 mainly ionizes into SFx (x = 1, 2, 3, 4, and 5) and F ions, which are attracted by the bias voltage out of the plasma ionization region above the chamber and accelerated downward to bombard the substrate [38,39]. The SFx ions physically sputter to remove the passivation polymer layer at the trench bottom, and F- subsequently reacts with the exposed silicon to deepen the trench. As the cycles continue, the trench depth increases, while the sidewalls remain vertical, thanks to the presence of the passivation film. In the pseudo-Bosch process, SF6 and C4F8 are injected simultaneously; therefore, etching and passivation are performed together [40,41]. The cryogenic process operates below −100 °C and adopts O2 as the passivation gas, working concurrently with SF6. O2 ionizes to form O ions, which combine with Si and F atoms to deposit SiOxFy on the trench sidewalls to reduce over-etching. The SiOxFy polymer will decompose automatically as the reactor chamber recovers to room temperature after etching [42,43,44,45].
The Bosch process has a low etch rate for photoresists, i.e., a high selectivity (the ratio of the etching rate of silicon to the mask layer), as the result of alternating etching and passivation. Photoresists can be directly used as mask layer material for the Bosch process, as illustrated in Figure 2a. After spin-coating photoresist on the wafer surface (Step i), the spiral pattern on the photomask was transferred to the soft mask layer by lithography (Step ii, iii). During etching (Step iv), the area covering AZ4620 on the wafer was not etched; instead, the silicon material in the uncovered area was continuously removed to form trenches, eventually removing the photoresist by NMP solution. For the pseudo-Bosch and cryogenic processes, etching and passivation are running in parallel, and thus the etching rate of the photoresist becomes greater, which possibly causes the problem of depleting the mask layer before the etching step is finished. Consequently, the pseudo-Bosch and cryogenic processes utilized Al and SiO2 as hard mask layer materials separately (shown in Figure 2b). An additional etching process (Step v) was required for transferring the target pattern to the hard mask layer before etching the silicon (Step vii). Al film was etched with Cl2/BCl3, and SiO2 with CHF3/Ar. After the whole etching process was complete, the Al film was stripped with a boiled sulfuric acid/peroxide mixture (SPM), and SiO2 was stripped with buffered hydrofluoric acid (BHF).

2.4. Characterization

The film thickness of SiO2, Al, and PR, respectively, was measured by a spectroscopic ellipsometer (Semilab, GES5E, Budapest, Hungary) and a stylus profilometer (Bruker, DektakXT, Billerica, MA, USA). All SEM images were obtained by scanning electron microscopes (Hitachi, SU3900, Tokyo, Japan and FEI, Quanta 3D FEG, Hillsboro, OR, USA), and the surface morphology of MOG spiral trenches was observed by a metallographic microscope (Zeiss, Axiocam 208 color, Jena, Germany). A white light interferometer (Zygo, NewView 9000, Middlefield, CT, USA) was used to characterize the surface roughness of sidewalls after cutting the sample tangentially along the trench sidewall (illustrated in Figure 3).

3. Results and Discussion

3.1. Bosch Process

As previously mentioned, the etching gas SF6 and passivation gas C4F8 are alternated in the Bosch process. To ensure process stability and repeatability, each gas was applied in small amounts during each etching or passivation cycle. SF6 was injected during deposition, and C4F8 during etching, both with a flow of 5 sccm. The soft mask layer AZ4620 was 6 µm thick (4000 rpm), and the optimized process parameters are listed in Table 1. After 100 cycles (30 min in duration), silicon trenches of 30.72 µm deep were obtained, with an etching rate of 1.02 µm/min. Although the trench depth was higher than 18 µm, which could be adjusted by reducing the number of cycles (total cycle time), the critical problem was the scalloped undulation of the sidewalls, as shown in Figure 4.
It is the result of lateral erosion acting on the newly etched sidewalls without the protection of passivation film during the etching cycle, leading to continuous undulations as the cycles persist. The size of the scallop microstructures was around 70–80 nm, not achieving low sidewall roughness.

3.2. Pseudo-Bosch Process

Since the switching between etching and passivation caused scallops on the sidewalls of deep trenches, the pseudo-Bosch process was attempted instead. Separate passivation before etching in the Bosch process guarantees minimal corrosion of the soft mask layer, but when carried out together, the etching rate increases significantly. Metal films are highly resistant to fluorine-based plasma etching and have a good selectivity relative to silicon substrates, making it sufficient for use as a hard mask with only a thin film. A 400 nm thick Al film was therefore chosen as the hard mask for the pseudo-Bosch process in view of its simplicity for deposition by evaporation and ease-of-use for mask patterning by chlorine plasma etching. The experimental data and results are detailed in Table 2, and Figure 5 shows the SEM images of trench sections using different parameters in the pseudo-Bosch process. Groups 1–3 changed the flow of C4F8, and Group 4 used the low flow of SF6 and C4F8 for verifying the effect of plasma density.
It can be seen in Figure 5 that lateral etching occurred on the silicon beneath the mask, especially on the top sidewalls more severely, called undercut. Through searching literature and analysis, it was determined to be caused by the metal mask. The eddy current heating effect [46] and charges in the mask layer [47,48] are responsible for this result. For conductive metal masks, the RF power generates eddy currents inside the metal mask, causing heating that contributes to the desorption of the surrounding fluorocarbon film and thus speeding up the etching below the Al mask. But the heat flux caused by eddy currents is minor and not sufficient to dominate. The positive charge at the bottom of the Al mask deflects the CFx+ ions that undertake a major role in the passivation deposition [49], reducing the thickness of the passivation layer at the top sidewall, which leads to increased lateral etching of this region. Figure 5a–c reveal that undercut was suppressed with the increase of passivation gas C4F8 flow, confirming that this defect is mainly controlled by the passivation stage. An additional group that reduced the flow of both gases, as displayed in Figure 5d, also occurred with undercut, excluding the disturbance of higher ion densities due to high fluxes in Groups 1–3.
Finally, SiO2 was determined as a reliable hard mask material. The thickness of the SiO2 layer prepared by PECVD was around 2 µm, and the final process parameters are presented as the fifth recipe in Table 2. The undercut under the mask was alleviated as the mask material changed to SiO2 (as shown in Figure 5e), and the etching rate was reached at 1.62 μm/min, but the verticality of the sidewall remains to be improved.

3.3. Cryogenic Etching

Although the pseudo-Bosch process using SiO2 as a mask layer has been proven to achieve micro-trenches with good morphological sidewalls, the etching rate is still undesirable. For further improvement, we investigated cryogenic etching for deep trenches. SF6 and O2 are used for etching and passivation, respectively, in which SF6 provides F radicals to react with silicon, and meanwhile, O2 combines with other particles to generate SiFxOy film, the passivation layer in the cryogenic etching process.
In this experiment, SiO2 remained as the hard mask layer, and the working temperature of cryogenic etching was set to −100 °C. The work aimed to obtain vertical and smooth sidewalls by varying the flow of O2 and the process time, keeping ICP power, RF power, temperature, and chamber pressure constant (as shown in Table 3).
In both Bosch and pseudo-Bosch processes, the high-energy plasma bombardment and etching of the silicon wafer increase the substrate temperature, contributing to non-uniformity of etching. The cryogenic process allows the wafer to be connected with a liquid nitrogen cooling system, which provides a consistent cryogenic environment over the entire wafer, thereby improving the etch profile.
The results of cryogenic etching are concentrated in Figure 6. With an oxygen flow of 8 sccm, a depth of 32.81 µm can be reached after 10 min of etching. But from its SEM image (as shown in Figure 6a), the profile is observed as narrow at the top and wide at the bottom, resembling a bottle, and the magnified view of sidewalls shows an uneven morphology, measured to undulate at 40–60 nm. The trench profile evolved towards a V-shaped structure as O2 flow increased (Figure 6d), and the undulations on the sidewalls gradually disappeared. This can be summarized as the increase in O2 flow improves passivation efficiency and enhances the protection of sidewalls. It was also found that the etching rate should theoretically decrease with increasing O2 flow, but the data from the previous three groups are controversial, indicating that the average etching rate is also related to the process time. For this, a fourth group was built as the comparison group for the first group, with identical parameters, except the process time was shortened to 3.5 min. The differences in etching rates and profiles between these two groups confirmed our guess. We speculate that the gradual deepening of the trench and the property of the high aspect ratio of the trench contribute to the reduced efficiency of the plasma in reaching the trench bottom and removing the silicon material, intuitively expressed as an effect of process time, i.e., the shorter the process time, the faster the average etching rate.
A Partial Least Squares (PLS) regression model was created to analyze the correlation between gas flow, process time, and etching profile. The SF6 to O2 ratio and the process time were selected as the independent variables x1 and x2, and the etching depth and tilt angle as the dependent variables y1 and y2. Based on the experimental data in Table 3, the regression model was established as follows:
y 1 = 21.8475 0.9558 x 1 + 0.0371 x 2 y 2 = 82.1027 + 0.4329 x 1 + 0.0078 x 2
The histogram of the regression coefficients (shown in Figure 7a) visualizes that the regression coefficients of the ratio of SF6 to O2 flow x1 is much larger than the process time x2 for both etching depth y1 and tilt angle y2, meaning that the gas flow has a more significant effect on the etching profiles. Figure 7b and c, respectively, display the prediction graphs of etching depth and tilt angle based on the regression equation, and the horizontal and vertical coordinates of data points separately represent the fitted values and the actual values. Data points closer to the diagonal line mean that the predicted value is closer to the actual value, implying the higher confidence of the regression equation. Compared with Figure 7c, the fitted results of Figure 7b about the etching depth are better, indicating the regression equation of the etching depth is more desirable, which is because the regression coefficients of the tilt angle are both lower than those of the etching depth. Overall, the minor differences between the fitted values of the equation and the original data demonstrate that the model created is acceptable. According to the model, for obtaining a trench with 90° sidewalls, the gas ratio should be 13.1, namely an O2 flow of 7 sccm, as in the fifth recipe in Table 3. After successive explorations, the desirable silicon spiral trench sample is displayed in Figure 8, with a sidewall verticality of 89.2°, an average width of 6.1 µm, and an average depth of 18.1 µm. Moreover, the average roughness of the trench sidewall characterized by the white light interferometer is only about 2.5 nm, which is significant for future light wave conduction.

4. Conclusions

In this study, the Bosch process, pseudo-Bosch process, and cryogenic etching were used to fabricate silicon spiral deep trenches. The Bosch process, with its inherent characteristic of alternate etching and passivation, could produce 70–80 nm scallops on the sidewalls of the deep trenches. Undercut of the silicon beneath the Al mask occurred in the pseudo-Bosch process. The charges in the mask are responsible for this result, achieved by interference passivation, and this negative effect was mitigated by replacing Al with SiO2 as the hard mask. A cryogenic process with a higher etching rate was demonstrated as a viable method in which cryogenic control ensures the stable temperature of the wafer during the process and etching uniformity. It was found that the etching rate of the deep trenches was also dependent on the process time. The association of the etching rate with gas flow and process time was revealed by a PLS regression model. The optimal silicon trench with a verticality of 89.23° was fabricated, and the sidewall roughness was only 2.5 nm on average. Our future work includes the selection and deposition into silicon trenches of optical waveguide materials, the construction of optical platforms for measuring light wave transmission, and the combination of silicon trenches with an MOG.

Author Contributions

Conceptualization, X.W., J.X. (Junfeng Xiao) and L.S.; methodology, Q.S., X.W. and J.X. (Junfeng Xiao); software, Y.Z.; validation, X.W., J.L., L.G., Z.S., J.X. (Junfeng Xiao) and J.X. (Jianfeng Xu); formal analysis, Y.Z.; investigation, Y.Z.; data curation, Y.Z.; writing—original draft preparation, Y.Z.; writing—review and editing, X.W., J.X. (Junfeng Xiao) and Y.W.; supervision, X.W., J.X. (Junfeng Xiao) and J.X. (Jianfeng Xu). All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Informed Consent Statement

Informed consent was obtained from all subjects involved in the study.

Data Availability Statement

Data sharing is not applicable to this article.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Sanders, G.A.; Taranta, A.A.; Narayanan, C.; Fokoua, E.N.; Mousavi, S.A.; Strandjord, L.K.; Smiciklas, M.; Bradley, T.D.; Hayes, J.; Jasion, G.T.; et al. Hollow-core resonator fiber optic gyroscope using nodeless anti-resonant fiber. Opt. Lett. 2021, 46, 46–49. [Google Scholar] [CrossRef] [PubMed]
  2. Yan, J.; Miao, L.; Chen, M.; Huang, T.; Che, S.; Shu, X. Research on the feedback control characteristics and parameter optimization of closed-loop fiber optic gyroscope. Optik 2021, 229, 166298. [Google Scholar] [CrossRef]
  3. Shang, K.; Lei, M.; Xiang, Q.; Na, Y.; Zhang, L. Tactical-grade interferometric fiber optic gyroscope based on an integrated optical chip. Opt. Commun. 2021, 485, 126729. [Google Scholar] [CrossRef]
  4. Yang, L.; Zhao, S.; Geng, J.; Xue, B.; Zhang, Y. Sensitivity enhancement of micro-optical gyro with photonic crystal. Chin. Phys. B 2021, 30, 044208. [Google Scholar] [CrossRef]
  5. Arditty, H.J.; Lefevre, H.C. Sagnac effect in fiber gyroscopes. Opt. Lett. 1981, 6, 401–403. [Google Scholar] [CrossRef]
  6. Andronova, I.A.; Malykin, G.B. Physical problems of fiber gyroscopy based on the Sagnac effect. Physics-Uspekhi 2002, 45, 793. [Google Scholar] [CrossRef]
  7. Lin, S.C.; Giallorenzi, T.G. Sensitivity analysis of the Sagnac-effect optical-fiber ring interferometer. Appl. Opt. 1979, 18, 915–931. [Google Scholar] [CrossRef]
  8. Feng, Z.; He, Y.; Yan, W.; Yang, F.; Han, W.; Li, Z. Progress of waveguide ring resonators used in micro-optical gyroscopes. Photonics 2020, 7, 96. [Google Scholar] [CrossRef]
  9. Venediktov, V.Y.; Filatov, Y.V.; Shalymov, E.V. Passive ring resonator micro-optical gyroscopes. Quantum Electron. 2016, 46, 437. [Google Scholar] [CrossRef]
  10. Zhang, Y.; Geng, J.; Li, L.; Wang, Y.; Yang, L. Exceptional-point-enhanced Brillouin micro-optical gyroscope based on self-injection locking. Opt. Commun. 2023, 528, 129008. [Google Scholar] [CrossRef]
  11. Suzuki, K.; Takiguchi, K.; Hotate, K. Monolithically integrated resonator microoptic gyro on silica planar lightwave circuit. J. Lightwave Technol. 2000, 18, 66–72. [Google Scholar] [CrossRef]
  12. Mottier, P.; Pouteau, P. Solid state optical gyrometer integrated on silicon. Electron. Lett. 1997, 33, 1975–1977. [Google Scholar] [CrossRef]
  13. Yu, H.; Zhang, C.; Feng, L.; Hong, L. SiO2 waveguide resonator used in an integrated optical gyroscope. Chin. Phys. Lett. 2009, 26, 054210. [Google Scholar] [CrossRef]
  14. Ciminelli, C.; D’Agostino, D.; Carnicella, G.; Dell’Olio, G.; Conteduca, D.; Ambrosius, H.; Smit, M.K.; Armenise, M.N. A high-Q InP resonant angular velocity sensor for a monolithically integrated optical gyroscope. IEEE Photonics J. 2015, 8, 6800418. [Google Scholar] [CrossRef]
  15. Guillén-Torres, M.Á.; Cretu, E.; Jaeger, N.A.; Chrostowski, L. Ring Resonator Optical Gyroscopes—Parameter Optimization and Robustness Analysis. J. Lightwave Tech. 2012, 30, 1802–1817. [Google Scholar] [CrossRef]
  16. Nejadmalayeri, A.H.; Herman, P.R.; Burghoff, J.; Will, M.; Nolte, S.; Tünnermann, A. Inscription of optical waveguides in crystalline silicon by mid-infrared femtosecond laser pulses. Opt. Lett. 2005, 30, 964–966. [Google Scholar] [CrossRef]
  17. Pavlov, I.; Tokel, O.; Pavlova, S.; Kadan, V.; Makey, G.; Turnali, A.; Yavuz, Ö.; Ilday, F.Ö. Femtosecond laser written waveguides deep inside silicon. Opt. Lett. 2017, 42, 3028–3031. [Google Scholar] [CrossRef]
  18. Nivas, J.J.; Allahyari, E.; Skoulas, E.; Bruzzese, R.; Fittipaldi, R.; Tsibidis, G.D.; Stratakis, E.; Amoruso, S. Incident angle influence on ripples and grooves produced by femtosecond laser irradiation of silicon. Appl. Surf. Sci. 2021, 570, 151150. [Google Scholar] [CrossRef]
  19. Hoffmeister, H.W.; Wenda, A. Novel grinding tools for machining precision micro parts of hard and brittle materials. ASPE 2000 2000, 22, 152–155. [Google Scholar]
  20. Aurich, J.C.; Engmann, J.; Schueler, G.M.; Haberland, R. Micro grinding tool for manufacture of complex structures in brittle materials. CIRP Ann. 2009, 58, 311–314. [Google Scholar] [CrossRef]
  21. Kendall, D.L. Vertical etching of silicon at very high aspect ratios. Annu. Rev. Mater. Sci. 1979, 9, 373–403. [Google Scholar] [CrossRef]
  22. Wu, B.; Kumar, A.; Pamarthy, S. High aspect ratio silicon etch: A review. J. Appl. Phys. 2010, 108, 9. [Google Scholar] [CrossRef]
  23. Wu, Y.; Olynick, D.L.; Goodyear, A.; Peroz, C.; Dhuey, S.; Liang, X.; Cabrini, S. Cryogenic etching of nano-scale silicon trenches with resist masks. Microelectron. Eng. 2011, 88, 2785–2789. [Google Scholar] [CrossRef]
  24. Crawford, T.H.R.; Borowiec, A.; Haugen, H.K. Femtosecond laser micromachining of grooves in silicon with 800 nm pulses. Appl. Phys. A 2005, 80, 1717–1724. [Google Scholar] [CrossRef]
  25. Xie, H.; Zhao, B.; Cheng, J.; Chamoli, S.K.; Zou, T.; Xin, W.; Yang, J. Super-regular femtosecond laser nanolithography based on dual-interface plasmons coupling. Nanophotonics 2021, 10, 3831–3842. [Google Scholar] [CrossRef]
  26. Sun, Q.; Tang, J.; Shen, L.; Lan, J.; Shen, Z.; Xiao, J.; Chen, X.; Zhang, J.; Wu, Y.; Xu, J.; et al. Fabrication of High Precision Silicon Spherical Microlens Arrays by Hot Embossing Process. Micromachines 2022, 13, 899. [Google Scholar] [CrossRef]
  27. Racka-Szmidt, K.; Stonio, B.; Żelazko, J.; Filipiak, M.; Sochacki, M. A review: Inductively coupled plasma reactive ion etching of silicon carbide. Materials 2021, 15, 123. [Google Scholar] [CrossRef]
  28. Shi, Z.; Jefimovs, K.; Romano, L.; Stampanoni, M. Towards the fabrication of high-aspect-ratio silicon gratings by deep reactive ion etching. Micromachines 2020, 11, 864. [Google Scholar] [CrossRef]
  29. Hsu, Y.P.; Chang, S.J.; Su, Y.K.; Sheu, J.K.; Kuo, C.H.; Chang, C.S.; Shei, S.C. ICP etching of sapphire substrates. Opt. Mater. 2005, 27, 1171–1174. [Google Scholar] [CrossRef]
  30. Luo, Z.; Shao, S.; Wu, T. Characterization of AlN and AlScN film ICP etching for micro/nano fabrication. Microelectron. Eng. 2021, 242, 111530. [Google Scholar] [CrossRef]
  31. Huang, Y.; Tang, F.; Guo, Z.; Wang, X. Accelerated ICP etching of 6H-SiC by femtosecond laser modification. Appl. Surf. Sci. 2019, 488, 853–864. [Google Scholar] [CrossRef]
  32. Gerlt, M.S.; Läubli, N.F.; Manser, M.; Nelson, B.J.; Dual, J. Reduced etch lag and high aspect ratios by deep reactive ion etching (DRIE). Micromachines 2021, 12, 542. [Google Scholar] [CrossRef] [PubMed]
  33. Henry, M.D. ICP Etching of Silicon for Micro and Nanoscale Devices; Order No. 3597138; California Institute of Technology: Pasadena, CA, USA, 2010. [Google Scholar]
  34. Chang, B.; Leussink, P.; Jensen, F.; Hübner, J.; Jansen, H. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process. Microelectron. Eng. 2018, 191, 77–83. [Google Scholar] [CrossRef]
  35. Roxhed, N.; Griss, P.; Stemme, G. A method for tapered deep reactive ion etching using a modified Bosch process. J. Micromech. Microeng. 2007, 17, 1087. [Google Scholar] [CrossRef]
  36. Chang, C.; Wang, Y.; Kanamori, Y.; Snih, J.; Kawai, Y.; Lee, C.K.; Wu, K.; Esashi, M. Etching submicrometer trenches by using the Bosch process and its application to the fabrication of antireflection structures. J. Micromech. Microeng. 2005, 15, 580. [Google Scholar] [CrossRef]
  37. Hu, R.; Ma, H.; Yin, H.; Xun, J.; Chen, K.; Yu, L. Facile 3D integration of Si nanowires on Bosch-etched sidewalls for stacked channel transistors. Nanoscale 2020, 12, 2787–2792. [Google Scholar] [CrossRef] [PubMed]
  38. Shi, Z.; Jefimovs, K.; La-Magna, A.; Stampanoni, M.; Romano, L. High aspect ratio tilted gratings through local electric field modulation in plasma etching. Appl. Surf. Sci. 2022, 588, 152938. [Google Scholar] [CrossRef]
  39. Christophorou, L.G.; Olthoff, J.K. Electron interactions with SF6. J. Phys. Chem. Ref. Data 2000, 29, 267–330. [Google Scholar] [CrossRef] [Green Version]
  40. Aydinoglu, F.; Pan, A.; Zhu, C.; Cuo, B. Effect of oxygen plasma cleaning on nonswitching pseudo-Bosch etching of high aspect ratio silicon pillars. J. Vac. Sci. Technol. B 2020, 38, 012804. [Google Scholar] [CrossRef]
  41. Ouyang, Z.; Ruzic, D.N.; Kiehlbauch, M.; Schrinsky, A.; Torek, K. Etching mechanism of the single-step through-silicon-via dry etch using SF6/C4F8 chemistry. J. Vac. Sci. Technol. A 2014, 32, 041306. [Google Scholar] [CrossRef] [Green Version]
  42. Baracu, A.M.; Dirdal, C.A.; Avram, A.M.; Dinescu, A.; Muller, R.; Jensen, G.U.; Thrane, P.V.C.; Angelskår, H. Metasurface fabrication by cryogenic and Bosch deep reactive ion etching. Micromachines 2021, 12, 501. [Google Scholar] [CrossRef] [PubMed]
  43. Zhang, W.; Huang, R.; Gao, Q. Etching of smoothing/without undercutting deep trench in silicon with SF6/O2 containing plasmas. Eng. Res. Express. 2021, 3, 035048. [Google Scholar] [CrossRef]
  44. Tillocher, T.; Nos, J.; Antoun, G.; Lefaucheux, P.; Boufnichel, M.; Dussart, R. Comparison between Bosch and STiGer processes for deep silicon etching. Micromachines 2021, 12, 1143. [Google Scholar] [CrossRef] [PubMed]
  45. Kim, D.H.; Choi, J.E.; Hong, S.J. Analysis of optical emission spectroscopy data during silicon etching in SF6/O2/Ar plasma. Plasma Sci. Technol. 2021, 23, 125501. [Google Scholar] [CrossRef]
  46. Jansen, H.; de Boer, M.; Burger, J.; Legtenberg, R.; Elwenspoek, M. The black silicon method II: The effect of mask material and loading on the reactive ion etching of deep silicon trenches. Microelectron. Eng. 1995, 27, 475–480. [Google Scholar] [CrossRef] [Green Version]
  47. Dey, R.K.; Ekinci, H.; Cui, B. Effects of mask material conductivity on lateral undercut etching in silicon nano-pillar fabrication. J. Vac. Sci. Technol. B 2020, 38, 012207. [Google Scholar] [CrossRef]
  48. Zhang, Y.; Hou, Z.; Si, C.; Han, G.; Zhao, Y.; Lu, X.; Liu, J.; Ning, J.; Yang, F. Effects of Mask Material on Lateral Undercut of Silicon Dry Etching. Micromachines 2023, 14, 306. [Google Scholar] [CrossRef]
  49. Saraf, I.R.; Goeckner, M.J.; Goodlin, B.E.; Kirmse, K.H.; Nelson, C.T.; Overzet, L.J. Kinetics of the deposition step in time multiplexed deep silicon etches. J. Vac. Sci. Technol. B 2013, 31, 011208. [Google Scholar] [CrossRef]
Figure 1. MOG spiral trenches: (a) 3D structure diagram and (b) geometry design.
Figure 1. MOG spiral trenches: (a) 3D structure diagram and (b) geometry design.
Micromachines 14 00846 g001
Figure 2. Schematic illustration of ICP etching MOG spiral trenches: (a) soft mask scheme and (b) hard mask scheme.
Figure 2. Schematic illustration of ICP etching MOG spiral trenches: (a) soft mask scheme and (b) hard mask scheme.
Micromachines 14 00846 g002
Figure 3. Measurement illustration of sidewall roughness: (a) cutting the sample, (b) the sidewalls of marked area were completely exposed, allowing roughness characterization by white light interferometer, and (c) the sample was placed vertically under the measuring lens of the white light interferometer, which was then adjusted to focus on the exposed sidewall area for roughness measurement.
Figure 3. Measurement illustration of sidewall roughness: (a) cutting the sample, (b) the sidewalls of marked area were completely exposed, allowing roughness characterization by white light interferometer, and (c) the sample was placed vertically under the measuring lens of the white light interferometer, which was then adjusted to focus on the exposed sidewall area for roughness measurement.
Micromachines 14 00846 g003
Figure 4. SEM images of (a) trenches and (b) sidewall of micro trenches by Bosch process.
Figure 4. SEM images of (a) trenches and (b) sidewall of micro trenches by Bosch process.
Micromachines 14 00846 g004
Figure 5. Different profiles of pseudo-Bosch experiments in (a) Group 1, (b) Group 2, (c) Group 3, (d) Group 4, and (e) Group 5.
Figure 5. Different profiles of pseudo-Bosch experiments in (a) Group 1, (b) Group 2, (c) Group 3, (d) Group 4, and (e) Group 5.
Micromachines 14 00846 g005
Figure 6. Etching results of cryogenic etching with different O2 flows and process times: (a) Group 1: O2 flow = 8 sccm, time = 10 min, (b) local enlargement of the sidewall of Group 1, (c) Group 2: O2 flow = 8.5 sccm, time = 4 min, (d) Group 3: O2 flow = 10 sccm, time = 6 min, (e) Group 4: O2 flow = 8 sccm, time = 3.5 min.
Figure 6. Etching results of cryogenic etching with different O2 flows and process times: (a) Group 1: O2 flow = 8 sccm, time = 10 min, (b) local enlargement of the sidewall of Group 1, (c) Group 2: O2 flow = 8.5 sccm, time = 4 min, (d) Group 3: O2 flow = 10 sccm, time = 6 min, (e) Group 4: O2 flow = 8 sccm, time = 3.5 min.
Micromachines 14 00846 g006
Figure 7. PLS regression model of cryogenic etching: (a) histogram of regression coefficients, (b) prediction graph of etching depth, and (c) prediction graph of tilt angle.
Figure 7. PLS regression model of cryogenic etching: (a) histogram of regression coefficients, (b) prediction graph of etching depth, and (c) prediction graph of tilt angle.
Micromachines 14 00846 g007
Figure 8. The images of final spiral trench sample: (a) picture of the entire sample, (b) surface morphology of MOG spiral trenches, (c) SEM image of trench profile, (d) partial magnification of the sidewall, and (e) roughness image of the sidewall.
Figure 8. The images of final spiral trench sample: (a) picture of the entire sample, (b) surface morphology of MOG spiral trenches, (c) SEM image of trench profile, (d) partial magnification of the sidewall, and (e) roughness image of the sidewall.
Micromachines 14 00846 g008
Table 1. Bosch process etching parameters.
Table 1. Bosch process etching parameters.
ICP Power (W)RF Power (W)Gas Flow (sccm)Temperature (°C)Pressure (mTorr)Time (s)
SF6C4F8
Etching70025100510408
Passivation700105100103010
Cycles 1800
Table 2. Pseudo-Bosch process etching parameters and results.
Table 2. Pseudo-Bosch process etching parameters and results.
NumberICP Power (W)RF Power (W)Gas Flow (sccm)Temperature (°C)Pressure (mTorr)Time (min)Etching Rate (μm/min)
SF6C4F8
11200203333151023.21.22
2120020335715101140.48
312002033721510700.31
46003012151510450.84
5100045100120515101.62
Table 3. Cryogenic process etching parameters and results.
Table 3. Cryogenic process etching parameters and results.
NumberICP Power (W)RF Power (W)Gas Flow (sccm)Temperature (°C)Pressure (mTorr)Time (min)Etching Rate (μm/min)Tilt Angle (°)
SF6O2
16008928−10012103.2891.8
26008928.5−1001244.9089.1
360089210−1001264.5588.6
46008928−100123.55.1288.6
56008927−100123.55.1489.2
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhang, Y.; Wu, Y.; Sun, Q.; Shen, L.; Lan, J.; Guo, L.; Shen, Z.; Wang, X.; Xiao, J.; Xu, J. Inductively Coupled Plasma Dry Etching of Silicon Deep Trenches with Extremely Vertical Smooth Sidewalls Used in Micro-Optical Gyroscopes. Micromachines 2023, 14, 846. https://doi.org/10.3390/mi14040846

AMA Style

Zhang Y, Wu Y, Sun Q, Shen L, Lan J, Guo L, Shen Z, Wang X, Xiao J, Xu J. Inductively Coupled Plasma Dry Etching of Silicon Deep Trenches with Extremely Vertical Smooth Sidewalls Used in Micro-Optical Gyroscopes. Micromachines. 2023; 14(4):846. https://doi.org/10.3390/mi14040846

Chicago/Turabian Style

Zhang, Yuyu, Yu Wu, Quanquan Sun, Lifeng Shen, Jie Lan, Lingxi Guo, Zhenfeng Shen, Xuefang Wang, Junfeng Xiao, and Jianfeng Xu. 2023. "Inductively Coupled Plasma Dry Etching of Silicon Deep Trenches with Extremely Vertical Smooth Sidewalls Used in Micro-Optical Gyroscopes" Micromachines 14, no. 4: 846. https://doi.org/10.3390/mi14040846

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop