Next Article in Journal
Metamaterial Solar Absorber Based on Refractory Metal Titanium and Its Compound
Next Article in Special Issue
Downstream Electric Field Effects during Film Deposition with a Radio Frequency Plasma and Observations of Carbon Reduction
Previous Article in Journal
Mechanical Properties of Arc Coatings Sprayed with Cored Wires with Different Charge Compositions
Previous Article in Special Issue
Effect of Al Addition on the Oxidation Resistance of HfC Thin Films
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Comparison of Self-Assembled Monolayers on SiO2 and Porous SiOCH Dielectrics by Decyltrimethoxysilane Vapor Treatment

1
Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan
2
Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan
3
Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan
*
Author to whom correspondence should be addressed.
Coatings 2022, 12(7), 926; https://doi.org/10.3390/coatings12070926
Submission received: 14 May 2022 / Revised: 21 June 2022 / Accepted: 27 June 2022 / Published: 30 June 2022
(This article belongs to the Special Issue Plasma Processing and Thin Film Deposition)

Abstract

:
Self-assembled monolayers (SAMs) are emerging as materials that are candidates of barriers used in back-end-of–line interconnects of integrated circuits for future generations. In this study, SAMs were formed on the SiO2 and porous SiOCH (p-SiOCH) films by using decyltrimethoxysilane (DTMOS) precursor in vapor phase at a temperature of 100 °C. The effects of the formation of SAMs at the surfaces of SiO2 and p-SiOCH films on the electrical characteristics were characterized and compared. With O2 plasma irradiation, SAMs could successfully form on both SiO2 and p-SiOCH films, thereby enhancing the adhesion and dielectric breakdown field. In the p-SiOCH films, SAMs sealed the surface pores and had higher coverage, promoting the effectiveness of the Cu barrier. In the Cu/porous low-k integrated interconnects for advanced technological nodes, therefore, SAMs are promising emerging materials acting as a barrier and adhesive. On the other hand, for SiO2 films, SAMs weakened the barrier; however, they can act as an interfacial adhesion enhancer.

1. Introduction

In the back-end-of-line (BEOL) Cu interconnects, a barrier is required to prevent Cu diffusion into the adjacent dielectric and to form a suitable, high-quality interface with Cu to limit vacancy diffusion to achieve acceptable reliability [1,2,3]. A widely used barrier material is Ta(N), which is generally deposited by physical-vapor-deposition (PVD). However, the higher resistance and poor step-coverage of PVD Ta(N) limit the scaling of Cu interconnects [4,5,6]. As a result, more efforts have been made to develop new barrier materials. Among the potential candidates, self-assembled monolayers (SAMs) are emerging as materials for integrated circuits in future generations because SAMs are a convenient, simple and versatile technology for building ordered bi-dimensional nanoscale structures [7,8,9,10]. Generally, SAMs are formed on a surface of a solid by using organosilane molecules in the liquid or vapor phase. The used molecules are comprised of head, backbone, and terminal groups. The head group must be tailored to the surface by means of the chemical bonding. The head group is typically silane-based for the silicon-containing substrates. Typically, the backbone is a hydrocarbon-based chain, which provides Van der Waals forces to self-align the SAMs, forming a well-ordered molecular layer. By altering the length of the hydrocarbon chain, the thickness of SAMs can be well-controlled. The terminal group enables the forming of strong chemical bonding to another substrate [10,11,12,13,14,15]. By choosing proper constituents of molecules, SAMs can act as a pore sealer, liner, adhesion promoter, and barrier.
SAMs technology has been started since 1946 and is now available for probing molecular recognition at interfaces, analyzing the binding of proteins and other biologically relevant molecules, and spatially tailoring the chemical properties of a solid [16]. Additionally, SAM is a promising material for advanced microelectronics and other complex molecular-scale devices because it provides a method for building up molecular-level microstructures. However, the application of SAMs to the BEOL interconnects of integrated circuits is still in the development stage, and the related research is limited [15,17]. In such applications, SAMs must have a high compatibility with BEOL processing. As a result, the underlying substrate also plays an important role in the efficiency of SAMs. The underlying substrate in the BEOL interconnects is typically the dielectric insulator, such as SiO2, SiOCH, and porous SiOCH (p-SiOCH). More studies about the formation of SAMs on SiO2 or SiOCH substrate have been reported [18,19,20]; however, investigations on the comparison of SAMs formed on the SiO2 and SiOCH substrates have been rare.
Therefore, in this study, SAMs were deposited at the surface of SiO2 and SAMs at the surface of SiO2 and p-SiCOH films by using decyltrimethoxysilane (DTMOS) in the vapor phase. The formation mechanism, electrical characteristics, and reliability were characterized and compared.

2. Experimental Section

In this study, two dielectric films were used: SiO2 and porous SiOCH (p-SiOCH) films. SiO2 films were grown by thermal oxidation method, and p-SiOCH films were deposited using plasma-enhanced chemical-vapor-deposition (PE-CVD) [21,22]. The dielectric constant of SiO2 was 4.05 ± 0.03. The p-SiOCH films have a dielectric constant of 2.56 ± 0.05 and are regarded as low-dialectic-constant (low-k) materials. The porosity and pore diameter of the p-SiOCH film were 15.5% and 1.35 nm, respectively. SiO2 and p-SiOCH films were deposited on the p-type and n-type silicon substrates, respectively. The thickness was controlled at 130 ± 10 nm for both films.
Each dielectric sample was split into two conditions before SAMs formation. One part was treated by O2 plasma in a capacitance-coupled reactor and was then put in decyltrimethoxysilane (DTMOS) vapor environments for the formation of SAMs. The other part was put in DTMOS vapor environments without O2 plasma treatment. During O2 plasma irradiation, the radio frequency (RF) power (13.45 MHz), treatment time, and O2 flow rate were 50 W, 30 s, and 10 sccm, respectively. In the DTMOS vapor treatment, the DTMOS precursor was placed in a vacuum oven, which was heated at 100 °C. Then, the vaporized DTMOS molecules were transported to the reaction chamber by argon gas with a total flow of 30 sccm, while the working pressure was kept at 0.5 Torr. The reaction time was fixed at 15 min.
Following this, the Cu film was deposited onto both samples using a thermal evaporation method through a shadow mask for the fabrication of metal-insulator-silicon (MIS) capacitors, which were used for the electrical and reliability characterization. The deposition thickness of the Cu film was ~100 nm, and the formation electrode was square with an area of 9.0 × 10−4 cm2.
The film thickness was determined by using an optical-probe system with an ellipsometer (Film TekTM 3000SE, Keithley, 6517A, Austin, TX, USA). The surface hydrophilicity was measured by the water contact angle (WCA; Reme Hardt, Mode-100-00-230). Five measurements were made. The capacitance–voltage (C–V) and current–voltage (IV) were characterized on the fabricated MIS capacitors. The CV measurement was operated at a frequency of 1 MHz by using a semiconductor parameter analyzer (HP4280A, Agilent technologies, Santa Clara, CA, USA). During the IV measurement, the voltage was swept with a rate of 0.1 V/s, and the response leakage current was monitored by using an electrometer (Keithley 6517A, Austin, TX, USA). Electrical stress was applied with various fields in the positive-polarity and a fixed time of 100 s. All measurements were performed at room temperature (25 °C).

3. Results and Discussion

The WCA values were 57.5°and 79.8° for the pristine SiO2 and p-SiOCH films, respectively. A high WCA value for the p-SiOCH is attributed to the hydrophobic Si-CH3 bonds at the film’s surface [21]. In order to verify the mechanism of SAMs formation, both samples with and without O2 plasma irradiation were subjected to DTMOS vapor environments. The exposure temperature and time were fixed at 100 °C and 15 min, respectively. Figure 1 and Figure 2 compare the changes of film thickness and WCA value, respectively, for SiO2 and p-SiOCH films with and without O2 plasma irradiation after being subjected to DTMOS vapor treatment. After O2 plasma irradiation, the WCA values largely dropped to 15.2° and 26.4° for SiO2 and p-SiOCH films, respectively, representing both films becoming more hydrophilic. Additionally, a slight decrease in the thickness was detected for both films due to the bombardment effect [23]. It can be found that p-SiOCH films had a slightly larger thickness reduction than SiO2. Upon O2 plasma irradiation on the p-SiOCH films, in addition to a stronger densification, a removal Si-CH3 reaction occurred [24]. Following this, the DTMOS vapor treatment increased the thickness and WCA values of the O2 plasma-irradiated samples. The increasing thicknesses were 1.2 nm and 3.7 nm for O2 plasma-irradiated SiO2 and p-SiOCH films. The WCA value returned to the level of the pristine film for p-SiOCH films, while the WCA value was still lower than that of the pristine film for SiO2 films. From the change in the WCA values, the surface hydrophilic groups are fully removed by bonded DTMOS molecules during DTMOS vapor treatment for O2 plasma-irradiated p-SiOCH films. In the case of O2 plasma-irradiated SiO2 films, some hydrophilic groups still remained at the surface.
On the other hand, as the samples did not experience O2 plasma irradiation, the thickness remained unchanged and the WCA value decreased after DTMOS vapor treatment, showing that DTMOS molecules were not bonded to the film’s surface. The reduced WCA value is caused by the vapor remaining at the film’s surface because it can be recovered by annealing at 100 °C. As a result, SAMs would not form on the deposited SiO2 and p-SiOCH films. In order to form SAMs, the film’s surface should be transferred into being hydrophilic. This indicates that the formation mechanism of SAMs is a surface condition-selectivity processing. According to the current experimental conditions, SAMs prefer to grow at the surface of O2 plasma-irradiated p-SiOCH film.
In order to further understand the formation mechanism of SAMs on the O2 plasma-irradiated SiO2 and p-SiOCH films, FT-IR spectra were used to characterize the transformation of the bonding structure under O2 plasma and DTMOS vapor treatments. The result is shown in Figure 3. For the pristine SiO2 film, only one absorption peak at ~1030 cm−1 was observed, corresponding to the Si-O-Si stretching mode. For the pristine p-SiOCH film, the main peaks were Si-O-Si stretching, Si-CH3 bending, and CHx stretching modes at the wavenumbers 1030, 1250, and 2850 cm−1, respectively [25,26]. The Si-O-Si stretching peak in the p-SiOCH film was broad, attributed to the overlap of three types of Si-O-Si stretching mode (network, suboxide, cage). The presence of the Si-CH3 group makes the p-SiOCH film be hydrophilic. Si-OH bonds at 3350 cm−1 were not detected for either SiO2 or p-SiOCH films.
After O2 plasma exposure, the peak intensity of the Si-O stretch network increased for both SiO2 and p-SiOCH films, indicating that the films tend to have more of a SiO2-like character. Additionally, Si-OH bonds appeared. A stronger intensity was observed in the p-SiOCH film. Moreover, Si-CH3 bonds in the p-SiOCH film disappeared, having likely been converted into Si-OH bonds. These transformations due to O2 plasma exposure make SiO2 and p-SiOCH films be hydrophilic.
After the DTMOS vapor treatment, both SiO2 and p-SiOCH films displayed the signal of Si-CH3 bonds. The Si-CH3 peak was more obvious in the p-SiOCH film. Moreover, the Si-OH bonds that appeared due to O2 plasma irradiation were removed. This indicates that the DTMOS molecule reacts with the Si-OH groups, removing the Si-OH groups and forming a Si-CH3 group in the film’s surface.
For DTMOS vapor-treated SiO2 and p-SiOCH films without O2 plasma irradiation, their FT-IR spectra were identical to those of the pristine films, again confirming that SAMs would not form at the film’s surface. As a result, as the surface of the substrate contains particular terminal groups (i.e., hydroxyl groups), the methoxysilane group (head group) in the DTMOS forms chemical bonding with hydroxyl groups at the film’s surface.
The adhesion was evaluated by stud-pull tape tests [5] as SAMs were inserted between Cu and a dielectric film. Eighty square-shaped dots were tested for each condition. Table 1 lists the tape test results. For the as-deposited sample without DTMOS vapor treatment and the DTMOS vapor-treated sample without O2 plasma irradiation, the failure rates of delamination were similar, as expected. The failure rate for p-SiOCH films was higher than that for SiO2 films, indicating that the porous low-k film has a weaker adhesion with Cu than SiO2 films. For both O2 plasma-irradiated samples with SAMs, all 80 square dots remained intact in both SiO2 and p-SiOCH films. This indicates that a weak interface occurred at the Cu/dielectric film interface rather than at the Si/dielectric interface; thus, an adhesion layer is required in Cu metallization, especially for porous low-k films. Moreover, SAMs derived from the DTMOS vapor phase cannot form at the surface of the as-deposited dielectric film without O2 plasma irradiation. If SAMs grow at the film’s surface, the adhesion between Cu and a dielectric film is improved for both SiO2 and p-SiOCH films, acting as an adhesive in Cu interconnects.
CV curve measurements were made on the MIS capacitors, as plotted in Figure 4. For SiO2 and p-SiOCH films, typical MIS C-V feature, accumulation, transition, and depletion capacitances, were observed, but the order was reversed because the used Si substrates were different types. As displayed in Figure 4, the measured accumulation capacitance of SiO2 films was higher than that of p-SiOCH films. The accumulation capacitance (C) can be used to determine the dielectric constant (k) of a dielectric film using the expression k = Cd0A. Here, d is the film thickness and A is the area of the capacitor. Figure 5 compares the extracted k values for the pristine, O2 plasma-irradiated, and SAMs-formed SiO2 and p-SiOCH films. The k values of the pristine SiO2 and p-SiOCH films were 4.05 ± 0.03 and 2.56 ± 0.06.
Following this, the O2 plasma irradiation increased the accumulation capacitance, inducing increased k values of 4.54 ± 0.05 and 3.52 ± 0.16 for SiO2 and p-SiOCH films, respectively. As expected, the p-SiOCH film had a relatively large increase in the k value because the surface Si-CH3 terminal groups in the p-SiOCH film were depleted by O2 plasma-generated species, forming the reactive Si- dangling bonds. Following this, the dangling bonds reacted with oxygen atoms or moisture to form Si-O and Si-OH bonds. Both the depletion of Si-CH3 groups and formation of Si-O and Si-OH bonds increased the k value for the p-SiOCH film. For SiO2 films subjected to O2 plasma irradiation, physical ion bombardment induced a densification reaction. Some Si-O- dangling bonds were generated, acting as moisture adsorption sites, and Si-OH bonds could be formed [27].
Following this, the DTMOS vapor treatment decreased the k values of both SiO2 and p-SiOCH films due to the removal of Si-OH bonds. The surface hydroxyl groups and/or moisture in the O2 plasma-treated films reacted with DTMOS molecules to form SAMs. A larger reduction in the k value was observed in the p-SiOCH film. The k values of SiO2 and p-SiOCH films with SAMs formation were 4.4 ± 0.05 and 3.20 ± 0.12, values that were higher those of the pristine films. This result revealed that SAMs formation would increase the k value of the used dielectric substrate. As SAMs grew, the p-SiOCH film had a relatively large k increase as compared to SiO2 films due to thicker SAMs and the occupation of pores by DTMOS molecules. During SAMs formation on the p-SiOCH film, DTMOS molecules were likely to diffuse into the pores because the length of the DTMOS molecule was similar to the pore size. As the pores in the p-SiOCH film were stuffed with DTMOS molecules, the k value increased because air in the pores has a minimum k value [28].
The breakdown field of a dielectric film was determined by an I-V measurement. In this measurement, the applied voltage is ramped and the leakage current is monitored. As the monitored leakage current suddenly increases by at least three orders of magnitude, the applied voltage (field) is defined as the breakdown voltage (field). Figure 6 compares the medium breakdown fields of the pristine, O2 plasma-irradiated, and SAMs-formed SiO2 and p-SiOCH films. Data presented here were collected from ten samples for each condition. The medium breakdown fields of the pristine SiO2 and p-SiOCH films were 9.83 and 2.42 MV/cm, respectively. After O2 plasma irradiation, both SiO2 and p-SiOCH films displayed a decreasing breakdown field. The breakdown fields of SiO2 and p-SiOCH films decreased to 9.25 and 0.56 MV/cm, respectively, due to a plasma-induced damage effect [23]. A large drop in the p-SiOCH film suggests that plasma-induced damage on the porous low-k film is particularly serious. By means of DTMOS vapor treatment to form SAMs on the O2 plasma-irradiated films, the breakdown fields increased and the magnitudes surpassed those of the pristine films. This implies that plasma-induced damage was repaired by DTMOS vapor treatment. The formation SAMs can further promote the breakdown field. A possible explanation is attributed to the improved adhesion between Cu and the dielectric film [29]. Compared to the relative enhancement of the breakdown field, the p-SiOCH film had a larger enhancement (1.92 times) than the SiO2 film (1.31 times) due to thicker SAMs and the pore-stuffing effect [30,31].
The barrier capacity of the formation SAMs derived by DTMOS vapor treatment was evaluated by applying positive-polarity electrical stress. Under electrical stress with a positive polarity, metal ions are formed and drift into the dielectric [30]. The amount of drifted metal ions is reflected by the shift in the flatband voltage (Vfb), which is determined from C–V curves’ measurements. Figure 7a,b plot the Vfb shifts of DTMOS vapor-treated p-SiOCH and SiO2 films after being subjected to electrical stress at various fields for a fixed time of 100 s. The data presented here are collected from five measurements on different MIS samples. For the pristine p-SiOCH film, Vfb significantly shifted to a negative voltage under positive-polarity electrical stress with an electrical field larger than 1.5 MV/cm, attributed to the drift of Cu ions into the film. The DTMOS vapor-treated p-SiOCH film underwent an identical electrical stress; as the stressing field was lower than 3.0 MV/cm, Vfb shifted to a positive-voltage direction, indicating that no Cu ions drifted. Instead, some negative charges were introduced into the film [27,31]. This suggests that the formation SAMs at the p-SiOCH film’s surface derived by DTMOS vapor treatment can prevent the drift of Cu ions into the film. As the stressing field was further increased to be larger than 3.0 MV/cm, Vfb turned to a negative-voltage shift. In such a scenario, Cu ions started to drift into the p-SiOCH film. The results point out that the formation SAMs derived by DTMOS vapor treatment can act as a barrier against Cu migration for the p-SiOCH film. Hence, SAMs are a potential candidate as a metal barrier for future generation.
As shown in Figure 7b in the case of the SiO2 film, no Vfb shift was observed until there was a stressing field larger than 6.5 MV/cm for pristine and DTMOS vapor-treated SiO2 films. As the stressing field of electrical stress was larger than 6.5 MV/cm, the Vfb shift turned to a negative-voltage direction and the magnitude increased with the stressing field, indicating that Cu ions started to drift into the SiO2 film at a field larger than 6.5 MV/cm and that the amount of drifted Cu ions increased with the field. Moreover, the DTMOS vapor-treated SiO2 film had a larger Vfb shift than the pristine sample, suggesting that SAMs grown on the SiO2 film weaken the barrier capacity of the SiO2 film. Compared with the barrier efficiency of SiO2 and p-SiOCH films, the trigger field for the Cu drift into the film was larger for the SiO2 film than for the p-SiOCH film, likely attributed to strong Si-O bonds and the dense structure in the SiO2 film. In contrast, in addition to the porous structure, the weak Si-C bonds in the p-SiOCH film were easily damaged by electrical stress, accelerating the drift of Cu ions. As SAMs derived by DTMOS vapor treatment were formed, the trigger field for the drift of Cu ions (negative Vfb shift) remained unchanged for the SiO2 films; however, the drift of Cu ions became stronger (larger negative Vfb shift), meaning that more Cu ions drifted into the film. This behavior is attributed to the fact that the main bonding of the formation SAMs is Si-C bonds, which have a poor barrier efficiency as compared to Si-O bonds. Hence, the formation SAMs on the SiO2 film fail to enhance the effectiveness of the Cu barrier, which is the opposite of the result for the p-SiOCH film.

4. Conclusions

In this study, the effects of formation SAMs induced by DTMOS vapor treatment on the electrical characteristics of SiO2 and porous low-k film have been investigated. O2 plasma irradiation makes particular hydroxyl groups form on the surface of SiO2 and p-SiOCH films, thereby establishing chemical bonding with DTMOS molecules to form SAMs. The formation SAMs enhance the adhesion and dielectric breakdown field. As SAMs are formed on the p-SiOCH film, the enhancement is pronounced. Additionally, it promotes Cu barrier capacity. Therefore, it is a promising barrier in Cu/porous low-k integrated interconnects for advanced technological nodes. On the other hand, the formation of SAMs on SiO2 films only acts as an adhesion promoter because SAMs would weaken the effectiveness of a Cu barrier.

Author Contributions

Conceptualization, Methodology, Writing-Original Draft Preparation, Writing-Review & Editing: Y.-L.C.; Validation, Formal Analysis: G.-S.C., J.-S.F.; Investigation: W.-F.P., C.-Y.L. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the National Science Council of the Republic of China, Taiwan: MOST-109-2221-E-260-010-MY2.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Acknowledgments

The author would like to thank the National Science Council of China, Taiwan, for financially supporting this research under Contract No. MOST-109-2221-E-260-010-MY2.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Li, Z.; Tian, Y.; Teng, C.; Cao, H. Recent Advances in Barrier Layer of Cu Interconnects. Materials 2020, 48, 5049. [Google Scholar] [CrossRef] [PubMed]
  2. Chen, F.; Bravo, O.; Harmon, D.; Shinosky, M.; Aitken, J. Cu/low-k dielectric TDDB reliability issues for advanced CMOS technologies. Microelectron. Reliab. 2008, 48, 1375. [Google Scholar] [CrossRef]
  3. Fang, K.L.; Tsui, B.Y. Metal drift induced electrical instability of porous low dielectric constant film. J. Appl. Phys. 2003, 93, 5546. [Google Scholar] [CrossRef] [Green Version]
  4. Steinhogl, W.; Schindler, G.; Steinlesberger, G.; Traving, M.; Engelhardt, M. Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller. J. Appl. Phys. 2005, 97, 023706. [Google Scholar] [CrossRef]
  5. Hosseini, M.; Ando, D.; Sutou, Y.; Koike, J. Co and CoTix for contact plug and barrier layer in integrated circuits. Microelectron. Eng. 2018, 189, 78. [Google Scholar] [CrossRef]
  6. Hosseini, M.; Koike, J. Amorphous CoTix as a liner/diffusion barrier material for advanced copper metallization. J. Alloys Compd. 2017, 721, 134. [Google Scholar] [CrossRef]
  7. Bogan, J.; Lundy, R.; McCoy, A.P.; O’Connor, R.; Byrne, C.; Walsh, L.; Casey, P.; Hughes, G. In-situ surface and interface study of atomic oxygen modified carbon containing porous low-κ dielectric films for barrier layer applications. J. Appl. Phys. 2016, 120, 105305. [Google Scholar] [CrossRef] [Green Version]
  8. Caro, A.M.; Maes, G.; Borghs, G.; Whelan, C.M. Screening self-assembled monolayers as Cu diffusion barriers. Microelectron. Eng. 2008, 85, 2047. [Google Scholar]
  9. Brady-Boyd, A.; O’Connor, R.; Armini, S.; Selvaraju, V.; Hughes, G.; Bogan, J. On the use of (3-trimethoxysilylpropyl)diethylenetriamine self-assembled monolayers as seed layers for the growth of Mn based copper diffusion barrier layers. Appl. Surf. Sci. 2018, 427, 260. [Google Scholar] [CrossRef]
  10. Aldakov, D.; Bonnassieux, Y.; Geffroy, B.; Palacin, S. Selective electroless copper deposition on self-assembled dithiol monolayers. ACS Appl. Mater. Interfaces 2009, 1, 584. [Google Scholar] [CrossRef]
  11. Uedono, A.; Armini, S.; Zhang, Y.; Kakizaki, T.; Krause-Rehberg, R.; Anwand, W.; Wagner, A. Surface sealing using self-assembled monolayers and its effect on metal diffusion in porous low-K dielectrics studied using monoenergetic positron beams. Appl. Surf. Sci. 2016, 368, 272. [Google Scholar] [CrossRef]
  12. Sharma, S.; Kumar, M.; Rani, S.; Kumar, D. Deposition and characterization of 3-aminopropyltrimethoxysilane monolayer diffusion barrier for copper metallization. Metall. Mater. Trans. B 2014, 46, 928. [Google Scholar] [CrossRef]
  13. Ganesan, P.G.; Singh, A.P.; Ramanath, G. Diffusion barrier properties of carboxyland amine-terminated molecular nanolayers. Appl. Phys. Lett. 2004, 85, 579. [Google Scholar] [CrossRef]
  14. Caro, A.M.; Armini, S.; Richard, O.; Maes, G.; Borghs, G.; Whelan, C.M.; Travaly, Y. Bottom-up engineering of subnanometer copper diffusion barriers using NH2-derived self-assembled monolayers. Adv. Funct. Mater. 2010, 20, 1125. [Google Scholar] [CrossRef]
  15. Armini, S.; Prado, J.L.; Krishtab, M.; Swerts, J.; Verdonck, P.; Meersschaut, J.; Conard, T.; Blauw, M.; Struyf, H.; Baklanov, M.R. Pore sealing of k 2.0 dielectrics assisted by self-assembled monolayers deposited from vapor phase. Microelec. Eng. 2014, 120, 240. [Google Scholar] [CrossRef]
  16. Aviram, A.; Ratner, M.A. Molecular rectifiers. Chem. Phys. Lett. 1974, 29, 277. [Google Scholar] [CrossRef]
  17. Cheng, Y.L.; Huang, C.W.; Lee, C.Y.; Chen, G.S.; Fang, J.S. Self-Assembled Monolayers on Highly Porous Low-k Dielectrics by 3-Aminopropyltrimethoxysilane Treatment. Coatings 2019, 9, 246. [Google Scholar] [CrossRef] [Green Version]
  18. Armini, S.; Prado, J.L.; Swerts, J.; Sun, Y.; Krishtab, M.; Meersschaut, J.; Blauw, M.; Baklanov, M.; Verdonck, P. Pore sealing of porous ultralow-K dielectrics by self assembled monolayers combined with atomic layer deposition. ECS Solid State Lett. 2012, 1, P42. [Google Scholar] [CrossRef]
  19. Rezvanov, A.; Gornev, E.S.; de Marneffe, J.-F.; Armini, S. Area selective grafting of siloxane molecules on low-k dielectric with respectto copper surface. Appl. Surf. Sci. 2019, 476, 317. [Google Scholar] [CrossRef]
  20. Bogan, J.; Brady-Boyd, A.; Armini, S.; Lundy, R.; Selvaraju, V.; O’Connor, R. Nucleation and adhesion of ultra-thin copper films on amino-terminated self-assembled monolayers. Appl. Surf. Sci. 2018, 462, 38. [Google Scholar] [CrossRef]
  21. Cheng, Y.L.; Lee, C.Y.; Huang, J.W.; Chen, G.S.; Fang, J.S. Comparison of Various Low Dielectric Constant Materials. Thin Solid Films 2018, 660, 871. [Google Scholar] [CrossRef]
  22. Cheng, Y.L.; Lin, B.H.; Huang, S.W. Effect of O2 Plasma Treatment on Physical, Electrical, and Reliability Characteristics of Low Dielectric Constant Materials. Thin Solid Films 2014, 572, 44. [Google Scholar] [CrossRef]
  23. Baklanov, M.R.; de Marneffe, J.-F.; Shamiryan, D.; Urbanowicz, A.M.; Shi, H.; Rakhimova, T.V.; Huang, H.; Ho, P.S. Plasma processing of low-k dielectrics. J. Appl. Phys. 2013, 113, 041101-1. [Google Scholar] [CrossRef]
  24. Shi, H.; Huang, H.; Bao, J.; Liu, J.; Ho, P.S. Roles of ions, photons, and radicals in inducing plasma damage to ultra low-k dielectrics. J. Vac. Sci. Technol. B 2012, 30, 011206-1. [Google Scholar] [CrossRef]
  25. Grill, A. Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. J. Appl. Phys. 2003, 93, 1785. [Google Scholar] [CrossRef]
  26. Maex, K.; Baklanov, M.R.; Iacopi, F.; Brongersma, S.H.; Yanovitskaya, Z.S. Low dielectric constant materials for microelectronics. J. Appl. Phys. 2003, 93, 8793. [Google Scholar] [CrossRef]
  27. Kim, J.J.; Park, H.H.; Hyun, S.H. The evolution of microstructure and surface bonding in SiO2 areogel film after plasma treatment using O2, N2, and H2 gases. Thin Solid Films 2001, 384, 236. [Google Scholar] [CrossRef]
  28. Grill, A.; Gates, S.M.; Ryan, T.E.; Nguyen, S.V.; Priyadarshini, D. Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art. Appl. Phys. Rev. 2014, 1, 011306. [Google Scholar] [CrossRef] [Green Version]
  29. He, M.; Lu, T.-M. Metal-Dielectric Interfaces in Gigascale Electronics: Thermal and Electrical Stability; Springer Series in Materials Science: Berlin/Heidelberg, Germany, 2011; Volume 157. [Google Scholar]
  30. Shoeb, J.; Kushner, M.J. Mechanisms for sealing of porous low-k SiOCH by combined He and NH3 plasma treatment. J. Vac. Sci. Technol. A 2011, 29, 051305. [Google Scholar] [CrossRef] [Green Version]
  31. Ishikawa, D.; Kobayashi, A.; Nakano, A.; Kimura, Y.; Matsushita, K.; Kobayashi, N.; Ditmer, G.; Kiermasz, A. Plasma-enhanced atomic layer deposition sealing property on extreme low-k film with k = 2.0 quantified by mass metrology. Jpn. J. Appl. Phys. 2013, 52, 05FG01. [Google Scholar] [CrossRef]
Figure 1. Thickness changes of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Figure 1. Thickness changes of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Coatings 12 00926 g001
Figure 2. Water contact angle of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Figure 2. Water contact angle of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Coatings 12 00926 g002
Figure 3. FT-IR spectra of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Figure 3. FT-IR spectra of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Coatings 12 00926 g003
Figure 4. C-V curves of pristine and DTMOS vapor-treated SiO2 and p-SiOCH films.
Figure 4. C-V curves of pristine and DTMOS vapor-treated SiO2 and p-SiOCH films.
Coatings 12 00926 g004
Figure 5. Dielectric constants of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Figure 5. Dielectric constants of SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Coatings 12 00926 g005
Figure 6. Breakdown fields of SiO2 and p-SiOCH after O2 plasma and DTMOS vapor treatments.
Figure 6. Breakdown fields of SiO2 and p-SiOCH after O2 plasma and DTMOS vapor treatments.
Coatings 12 00926 g006
Figure 7. Flatband voltage shifts of Cu-gate MIS capacitors after being subjected to electric stress for varying fields: (a) p-SiOCH; (b) SiO2.
Figure 7. Flatband voltage shifts of Cu-gate MIS capacitors after being subjected to electric stress for varying fields: (a) p-SiOCH; (b) SiO2.
Coatings 12 00926 g007
Table 1. SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Table 1. SiO2 and p-SiOCH films after O2 plasma and DTMOS vapor treatments.
Dielectric FilmAs-DepositedWithout O2 Plasma Irradiation &
With DTMOS Vapor Treatment
With O2 Plasma Irradiation &
With DTMOS Vapor Treatment
SiO245/80 (56.3%)46/80 (57.5%)0/80 (0%)
p-SiOCH58/80 (72.5%)56/80 (70.0%)0/80 (0%)
Note: Failure/Test samples (Failure rate)
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Cheng, Y.-L.; Peng, W.-F.; Lee, C.-Y.; Chen, G.-S.; Fang, J.-S. Comparison of Self-Assembled Monolayers on SiO2 and Porous SiOCH Dielectrics by Decyltrimethoxysilane Vapor Treatment. Coatings 2022, 12, 926. https://doi.org/10.3390/coatings12070926

AMA Style

Cheng Y-L, Peng W-F, Lee C-Y, Chen G-S, Fang J-S. Comparison of Self-Assembled Monolayers on SiO2 and Porous SiOCH Dielectrics by Decyltrimethoxysilane Vapor Treatment. Coatings. 2022; 12(7):926. https://doi.org/10.3390/coatings12070926

Chicago/Turabian Style

Cheng, Yi-Lung, Wei-Fan Peng, Chih-Yen Lee, Giin-Shan Chen, and Jau-Shiung Fang. 2022. "Comparison of Self-Assembled Monolayers on SiO2 and Porous SiOCH Dielectrics by Decyltrimethoxysilane Vapor Treatment" Coatings 12, no. 7: 926. https://doi.org/10.3390/coatings12070926

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop