Next Article in Journal
Diffuse and Direct UV Index Experimental Values
Next Article in Special Issue
Estimation of Carbon Stocks and Carbon Sequestration Rates in Abandoned Agricultural Soils of Northwest Russia
Previous Article in Journal
Assessment of Multifractal Fingerprints of Reference Evapotranspiration Based on Multivariate Empirical Mode Decomposition
Previous Article in Special Issue
Soil Organic Matter in Urban Areas of the Russian Arctic: A Review
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Study on Greenhouse Gas (PFCs) Reduction in Plasma Scrubbers to Realize Carbon Neutrality of Semiconductors and Displays

1
Korea Testing & Research Institute (KTR), 98 Gyoyukwon-ro, Gwacheon-si 13810, Gyeonggi-do, Republic of Korea
2
GnBS eco, 401-14 Mosan-ro, Daedeok-myeon, Anseong-si 17541, Gyeonggi-do, Republic of Korea
*
Author to whom correspondence should be addressed.
Atmosphere 2023, 14(8), 1220; https://doi.org/10.3390/atmos14081220
Submission received: 29 June 2023 / Revised: 20 July 2023 / Accepted: 23 July 2023 / Published: 28 July 2023
(This article belongs to the Special Issue Greenhouse Gas Emission: Sources, Monitoring and Control)

Abstract

:
Perfluorinated compounds (PFCs) are used for manufacturing purposes in the semiconductor and display industries, resulting in an increased need for emission reduction due to the significant global warming potential of the associated greenhouse gases. The decomposition characteristics of etch-type and water film (WF)-type plasma-wet scrubbers were investigated. The PFCs used in the study were CF4, SF6, NF3, CHF3, C2F6, C3F8, and C4F8, and the destruction removal efficiency (DRE) and by-product gas generation rate were confirmed based on the changes in the parameters (total flow rate and power) of the plasma-wet scrubber. When the total flow rate reached 100 L/min and the measured maximum power (11 kW), the reduction efficiency of CF4 in the etch type was 95.60% and the DRE of other PFCs was 99.99%. Moreover, for the WF type, the DRE of CF4 was 90.06%, that of SF6 was 96.44%, and that of other PFCs was 99.99%. When the total flow rate reached 300 L/min and 11 kW, the DRE of SF6 in the etch type was 99%, and the DRE of NF3, CHF3, C2F6, C3F8, and C4F8 was 95.57%, 87.06%, 70.74%, 81.45%, and 95.59%, respectively. In addition, in the WF type, the DRE of SF6 was 94.39%, and the DRE of NF3, CHF3, C2F6, C3F8, and C4F8 was 99.80%, 95.34%, 85.38%, 88.49%, and 98.22%, respectively. The decomposition efficiency was high for the etch type for gases with small flow rates or no by-product gas generation. The by-product gas generation rate was significantly lower for the WF type.

1. Introduction

Mixed gases of various compositions are used in the semiconductor and display industries, and CO2, CF4, SF6, and N2O are mainly emitted as waste gases. Perfluorinated compounds (PFCs) such as CF4, SF6, CHF3, and C3F8 are widely used in etching, deposition, and cleaning processes in the semiconductor and display industries [1,2,3]. PFCs have a significant impact on global warming because their global warming potential (GWP) and lifetime are very high compared to that of CO2 and CH4. Table 1 shows the latest GWP and lifetime of greenhouse gases provided by the IPCC’s sixth assessment report (AR6). PFCs were designated one of the six major greenhouse gases in the 1997 Kyoto Protocol and regulated internationally for their emissions [4,5]. Emissions of PFCs are increasing with the growth of the semiconductor and display industries. As international interest in carbon reduction increases, the reduction of PFCs is necessary [6,7].
To reduce PFC emissions, process optimization, recycling/recovery, and reduction technologies are proposed. None of the existing gases with low GWP are a suitable replacement for PFCs, and it takes a long time to develop alternative gases. In addition, semiconductor and display manufacturing processes are complex, making it difficult to optimize processes for the reduction or implementation of recycling and recovery facilities [8,9,10,11]. During the etching and deposition process, PFCs are used in an optimal ratio to improve the product’s completeness, making it difficult to change the process conditions [12,13].
Scrubbers used in the semiconductor and display industries were initially developed to remove air pollutants. As interest in greenhouse gas emissions increased, scrubbers were developed and improved to handle greenhouse gases as well [14]. There are various types of scrubbers which vary in terms of treatment efficiency, from higher to lower: these include heat, burn, and plasma, in that order [15]. In order to increase PFC treatment, wet treatment facilities are combined at the rear of the reduction device to be used as burn-wet, plasma-wet, etc. [16]. On industrial sites, burn-wet scrubbers are used most frequently. Research on the plasma-wet type, which has a higher PFC destruction removal efficiency (DRE) than the burn-wet type, is being actively conducted, and its utilization in the field is increasing accordingly. Plasma scrubber research was mainly conducted by improving the plasma torch [17] and the wet processing structure [18]. However, when measurements were taken, the DRE of PFCs was the main focus, and only the type of gas by-product produced by each PFC was confirmed; no study focused on the amount of gas by-product generated.
In this study, the plasma wet scrubber, which is seeing increasing use in the field, was divided into an etch type and a water film (WF) type. In addition, each type of DRE and by-product gas generation rate was measured. The DRE of PFCs was measured using the plasma power and total flow rate as parameters. By adjusting the parameters for each type of PFC, the optimal conditions were confirmed to confirm under which conditions the DRE was high. The by-product gas generation rate of PFCs was measured under a power condition in which the DRE of all PFCs was greater than 99%. By checking the DRE and considering the by-product gas generation rate, the optimal type of plasma-wet scrubber for each type of PFC was confirmed.
Table 1. Global warming potential and lifetime of greenhouse gases (AR6) [19].
Table 1. Global warming potential and lifetime of greenhouse gases (AR6) [19].
Greenhouse GasesLifetime (Year)GWP100
CO250–2001
CH41227
CF450,0007380
SF6320024,300
C2F610,00012,400
CHF322214,600
C3F826009290
C4F8320010,200
NF350017,400

2. Materials and Methods

2.1. Composition of Plasma-Wet Scrubber

Figure 1 shows the configuration of the plasma-wet scrubber. The plasma-wet scrubber is composed of an inlet part, plasma system, pyrolysis reactor, gas quenching part, wastewater circulation tank, wet spray tower, and outlet part. When waste gas such as PFCs enters the inlet part, it comes into contact with the arc plasma generated by the plasma system and rises up in the pyrolysis reactor to decompose. The high-temperature gas is cooled in the quenching part and by-products are treated in the wet spray tower. The wastewater is stored in the circulation tank and discharged. The treated gas is discharged through the outlet [20]. In this paper, the type of plasma used at the plasma-wet scrubber was high-temperature arc plasma, and nitrogen was used as the discharge gas. When plasma is generated in a plasma system, the temperature at the center and at the edge represents several tens of thousands to thousands of degrees. The detailed specifications of the plasma-wet scrubber are provided in Table 2.
Figure 2 shows the setup of the plasma-wet scrubber used in this study. The plasma-wet scrubber is divided into an etch type for waste gas treatment during the etching process and a water film type for waste gas treatment during the chemical vapor deposition process. For the etch type, water is sprayed from the gas quenching part located below the pyrolysis reactor part. For the water film type, a water film is formed inside the pyrolysis reactor.

2.2. Experiment Setup and Methods

Figure 3 illustrates the experiment setup that was used in this study. CF4, SF6, NF3, CHF3, C2F6, C3F8, and C4F8 were used, each with high purity (99.999%). The flow rate of the target gas was adjusted using a mass flow meter (M3030V, LINE TECH Co., Daejeon, Republic of Korea), and the concentration of the PFC gas was adjusted to 4000 to 5000 μmol/mol via mixing with nitrogen gas (99.999%) prior to injection into the plasma-wet scrubber (NSPW600Plus, GnBS eco, Anseong, Republic of Korea). The flow rate injected into the plasma-wet scrubber was between 100 and 300 L/min; this included nitrogen and air, which are essential during scrubber operation. Plasma-wet scrubbers range in power from 6 to 11 kW. Fourier transform infrared spectroscopy (FT-IR; Gasmet DX4000, Gasmet Co., Vantaa, Finland) was used to measure the inlet and outlet concentrations of the Plasma-wet scrubber. For the gas cell of FT-IR, a 60 cm-long cell was used in the inlet measurement, and a 500 cm-long cell was used in the outlet measurement. The inlet and outlet flow rates of the plasma-wet scrubber were measured using a quadrupole mass spectrometer (isepa-S, el Co., Daejeon, Republic of Korea). Table 3 shows the experimental operating conditions in detail.

2.3. Calculation of PFCs

The DRE of PFCs can be defined as follows [21]:
DRE   of   PFCs   % = ( 1 V o u t V i n ) × 100
V i n = C i n × Q i n
V o u t = C o u t × Q o u t
where V i n : inlet volume-flow of PFCs (L/min);   V o u t : outlet volume flow of PFCs (L/min);   C i n : inlet concentration of PFCs (μmol/mol);   C o u t : outlet concentration of PFCs (μmol/mol);   Q i n : inlet flow of PFCs (L/min);   Q o u t : outlet flow of PFCs (L/min).
The generation rate of by-products can be defined as follows:
Generation   rate   of   By products   % = V b p V i n × 100
V b p = C b p × Q o u t
where V b : outlet volume-flow of by-products (L/min);   C b p : outlet concentration of by-products (μmol/mol).

2.4. Mechanism of Reactions

Table 4 shows the mechanism of PFCs using plasma. PFCs are dissociated and ionized into CFx(CF3, CF2, CF), CxFx(C3F7, C3F5, C2F4, etc.), CHFx(CHF2, CHF), SFx(SF5, SF4, SF3), and NFx(NF2, NF) through collisions with electrons (e) according to Equations (6)–(48). As shown in Equations (49)–(60), recombination achieved via secondary reactions between gases was considered. The water sprayed down the pyrolysis reactor in the etch type and the WF in the WF type generated OH and H radicals through electrons (e) according to Equation (61). The generated OH and H radicals were converted to HF via a reaction with the decomposed PFCs, according to Equations (62)–(70) [22,23,24,25,26,27,28,29,30,31,32,33,34,35].

3. Results

3.1. Decomposition of PFCs in Etch Type

Figure 4a shows the DREs of CF4, SF6, CHF3, C2F6, C3F8, and C4F8 when the flow rate was 100 L/min in the etch type. The DREs of SF6, NF3, CHF3, C2F6, C3F8, and C4F8 were maintained above 99% regardless of power changes. The DRE of CF4 increased from 72.45% at 8 kW to 95.60% at 11 kW with increasing power, but the DRE was lower compared to other gases. From 6 kW to 11 kW, it can be seen that the high temperature at which the decomposition of SF6 is optimal was successfully maintained. CF4 has a stable structure; it difficult to decompose because it requires a high reaction temperature for decomposition, and recombination occurs easily. At the highest power of 11 kW, the high temperature at which the decomposition of CF4 was successfully maintained.
Figure 4b shows the DREs of SF6, CHF3, C2F6, C3F8, and C4F8 when the flow rate was 300 L/min in the etch type. As the power increased, the DRE of SF6 increased from 96.57% to 99.99%, and the DRE reached 99.99% at 8 kW power, maintaining the DRE up to 11 kW. The DRE of NF3 increased from 49.32% to 95.57% as the power increased, and from 10 kW, the DRE was over 90%. The DRE of C4F8 increased from 94% to 98.59% as the power increased, and from 6 kW, the efficiency was higher than 90%. In the case of CHF3, C2F6, and C3F8, as the power increased, the DRE increased from 62.42%, 49.17%, and 38.35% to 87.06%, 70.74%, and 81.45%, respectively. As the flow rate increased, the amount of injected PFCs increased, so that the amount of gas that passed without contacting the plasma increased and does not receive enough energy to decompose. All PFCs showed a lower DRE compared to the flow rate of 100 L/min.

3.2. Decomposition of PFCs in WF Type

Figure 5a shows the DREs of CF4, SF6, CHF3, C2F6, C3F8, and C4F8 when the flow rate is 100 L/min in the water film (WF) type. As the power increased, the DREs of SF6, CHF3, C2F6, C3F8, and C4F8 increased from 96.44%, 99.30%, 96.60%, 98.74%, and 99.93% to 99.99%, respectively. For CF4, the DRE value increased from 43.96% to 90.06% as the power increased from 6 to 11 kW, but the DRE was lower compared to other gases. For NF3, the DRE was 99.99% at 6 kW regardless of the power increase. For PFCs other than CF4 and NF3, the DRE of 6 to 7 kW tended to be lower compared to the DRE of 11 kW. The temperature inside the pyrolysis reactor was slightly reduced due to WF, so the DRE decreased at low power, and as the power increased, the temperature inside the pyrolysis reactor was maintained at a level that did not affect decomposition. CF4 presented a lower DRE compared to the DRE of other PFCs, although the DRE increased as the power increased. CF4 requires a high temperature to decompose, but the DRE seems to be low because the WF type cannot maintain a sufficient temperature for CF4 decomposition.
Figure 5b shows the DREs of SF6, CHF3, C2F6, C3F8, and C4F8 when the flow rate was 300 L/min in the WF type. For SF6, it increased from 88.91% to 94.39% as the power increased. Meanwhile, for NF3, CHF3, C2F6, C3F8, and C4F8, the DRE at 6 kW was as low as 60.21%, 58.21%, 54.08%, 32.67%, and 46.60%. However, the DREs of NF3, CHF3, C2F6, C3F8, and C4F8 at 11 kW were 99.80%, 95.34%, 85.38%, 88.49%, and 98.22%, respectively. The DRE of SF6 was 94.39% at maximum power, showing low efficiency compared to the flow rate of 100 L/min. As the flow rate increased, the decomposition became insufficient. Other PFCs also showed low efficiency at 6 kW with DRE compared to a flow rate of 100 L/min, but at the highest power of 11 kW, DRE mostly showed small differences compared with a flow rate of 100 L/min. WF appeared to cause reactions such as those described by Equations (61)–(70) to convert PFCs to HF, increasing the DRE before the decomposed gas recombined into PFCs.

3.3. DRE of Etch and WF Type

Figure 6 shows the DRE of CF4 in the etch type and WF type when the flow rate was 100 L/min. The etch type maintained a high temperature up to the pyrolysis reactor, so the DRE of CF4 was greater than that of the WF type. Since CF4 has a stable C–F binding energy of 543 kJ/mol, the reaction must occur at a very high temperature to achieve decomposition [36]. It can be seen that as the power increased, the plasma size also increased, and CF4 easily reached a high temperature at which it was easily decomposed, so the C–F bond of CF4 was well decomposed. Meanwhile, for the WF type, the temperature inside the reactor was lower than that of the etch type due to the water film, so the decomposition of CF4 was insufficient. As shown by Equations (33)–(36), in order for CF4 to react with OH and H radicals, decomposition to CFx must first occur. However, there was less conversion to HF for the WF type compared to the etch type because the decomposition of CF4 was unsatisfactory.
Figure 7 shows the DRE of SF6, NF3, CHF3, C2F6, C3F8, and C4F8 in the etch type and WF type when the flow rate is 300 L/min and the power is 11 kW. For SF6, the DRE of the etch type was higher than that of the WF type. The S–F binding energy of SF6 is 438–447 kJ/mol, and the reaction must occur at a high temperature to achieve decomposition [37]. Regardless of the type, the DRE was high at 90% or more, which means that recombination such as that shown by Equations (58)–(60) was insufficient when decomposition occurred as described by Equations (41)–(45). In the WF type, due to the water film, the temperature inside the reactor was lower than that of the etch type, which inhibited the decomposition of SF6. In the cases of NF3, CHF3, C2F6, and C3F8, DRE was higher in the WF type than in the etch type. The N–F bond energy of NF3 is 278 kJ/mol, so it does not require very high temperatures for decomposition [38]. WF prevented the recombination of each gas by causing a reaction as shown in Equations (62)–(70) and was subsequently converted to HF. For C4F8, the DREs of the etch type and the WF type were similar. As shown in Equations (6)–(9) and (14)–(22), C4F8 exhibited a high DRE regardless of the etch type and WF type because the C–F bond was broken in various ways. C4F8 is unable to select a type that can be easily decomposed by DRE alone. The optimal type should be selected in consideration of the generation rate of by-product gas generated when C4F8 is decomposed.

3.4. Rate of By-Product Gas Generation

The rate of by-product gas generation was calculated based on the amount of by-product gas generation for C2F6, CHF3, C3F8, and C4F8, where F gases such as CF4 and C2F6 were generated as by-product gases. The amount of by-product gas generation was measured at a flow rate of 100 L/min and 7 kW power. Figure 8a shows the by-product gas generation rate of CHF3 in the etch type. The pure DRE was calculated based on a by-product gas generation rate was 89.54%, and the by-product gas generation rate was confirmed to be 10.40%. As for by-product gas, CF4 was high at 10.37% of the by-product gas generation rate, and C2F6 was generated at as little as 0.03%. Figure 8b shows the by-product gas generation rate of CHF3 for the WF type. The pure DRE calculated based on a by-product gas generation rate was 99.93% and the by-product gas generation rate was 0.002%, showing a very low generation rate. A small amount of CF4 was generated as a by-product gas. It has been determined that for the etch type, CHF3 undergoes a recombination reaction similar to that described in Equations (51)–(57), and some recombination occurs as a by-product gas, CF4. Meanwhile, for the WF type, CHF3 occurs before the reaction described in Equations (61)–(68) is recombined, and only a small amount of by-product gas (CF4) is generated, and most of it is converted to HF and treated.
Figure 9a shows the by-product gas generation rate of C2F6 in the etch type. The pure DRE was calculated based on a by-product gas generation rate was 65.21%, and the by-product gas generation rate was confirmed to be 34.76%. Only CF4 was generated as a by-product gas. Figure 9b shows the by-product gas generation rate of C2F6 in WF type. It was confirmed that the pure DRE based on the by-product gas generation rate was 99.62% and the by-product gas generation rate was 0.06%, showing a very low generation rate. A small amount of CF4 was generated as a by-product gas, even for the WF type. For the etch type, some of the recombination reactions of C2F6 to CF4, as shown in Equation (55), occurred. For the WF type, the reaction shown in Equations (61)–(65) occurred before the recombination reaction; only a small amount of by-product gas (CF4) was generated, and most of it is converted to HF and treated.
Figure 10a shows the by-product gas generation rate of C3F8 for the etch type. The by-product gas generation rate of C3F8 was 130.58%, and more by-product gas was generated than the amount of injected C3F8. As for by-product gas, CF4 was high at 130.511%, C2F6 was 0.068%, and CHF3 was low at 0.001%. Figure 10b shows the by-product gas generation rate of C3F8 for the WF type. The by-product gas generation rate of C3F8 was 0.09%, and the pure DRE calculated based on the by-product gas generation rate was confirmed to be 99.76%. For the WF type, a small amount of CF4 was generated as a by-product of C3F8. Meanwhile, for the etch type, C3F8 mainly underwent a recombination reaction as shown in Equations (49)–(57), and all C3F8 was not removed but converted to other CFx and CxFx and mainly reacted as shown in Equations (49) and (55) to convert to CF4. For the WF type, before the main recombination reaction occurred, the reaction shown in Equations (61)–(65) took place; only a small amount of by-product gas (CF4) was generated, and most of it was converted to HF and subsequently treated.
Figure 11a shows the by-product gas generation rate of C4F8 for the etch type. The by-product gas generation rate of C4F8 was 146.95%, and more by-product gas was generated than the amount of injected C4F8. Among the by-product gases, CF4 was high at 140.90% and C2F6 was low at 0.05%. Figure 11b shows the by-product gas generation rate of C4F8 for the WF type. The by-product gas generation rate of C4F8 was 20.19%, which was less than that of the etch type, and the pure DRE calculated based on the by-product gas generation rate was confirmed to be 79.80%. For the WF type, as a by-product gas of C4F8, CF4 was generated at 99.99% and C2F6 at 0.01%. Meanwhile, for the etch type, C4F8 was converted into CFx and CxFx, mainly as a result of recombination reactions similar to those of C3F8. Evidently, for the WF type, reactions such as Equations (61)–(65) occur more frequently than recombination reactions. Some by-product gases (CF4, C2F6) are generated, and the rest are converted to HF and subsequently treated. When calculating greenhouse gas emissions, both DRE and the amount of by-product gas should be taken into consideration. Therefore, when selecting a plasma scrubber according to the type of PFCs, it is necessary to consider a low by-product gas generation rate by checking the final amount of PFCs discharged rather than considering the DRE alone.

4. Conclusions

In this study, to evaluate the decomposition characteristics of each type of plasma-wet scrubber, an experiment was conducted to determine the DRE and by-product gas generation rate according to the parameter change of the etch-type and WF-type plasma-wet scrubbers. At 100 L/min and 11 kW in the etch type, the DRE of CF4 was 95.60%, and the other gases maintained DRE at 99.99% from 6 to 11 kW. At 300 L/min and 11 kW, the reduction efficiencies of SF6, NF3, CHF3, C2F6, C3F8, and C4F8 were 99.99%, 95.57%, 87.06%, 70.74%, 81.45%, and 98.59%, respectively. At 100 L/min and 11 kW in the WF type, the DRE of CF4 was 90.06% and the DRE of SF6 was 96.44%, and most of the other gases showed a DRE of 99.99%. In addition, at 300 L/min and 11 kW, the DREs of SF6, NF3, CHF3, C2F6, C3F8, and C4F8 were 94.39%, 99.80%, 95.34%, 85.38%, 88.49%, and 98.22%, respectively. The DRE of CF4 and SF6 in the etch type was smaller than that of the WF type. It appears that the temperature inside the reactor was lower than that of the etch type, so decomposition did not occur properly and the DRE was lowered. In addition, the DRE of WF was high at a total gas flow rate of 300 L/min. This indicates that recombination was prevented by the water film and that the DRE was increased. Therefore, in the process using CF4 and SF6, the etch type was considered, and in the process using other gases, the WF type was considered.
It was confirmed that the by-product gas generation rate showed a significant decrease for the WF type compared to the etch type. This seems to have reduced the generation of by-product gases by applying HF conversion prior to their decomposition into by-product gases such as CF4 and C2F6 due to the treatment of water film and by-products. Therefore, the use of a WF-type plasma-wet scrubber can be considered while using CHF3, C2F6, C3F8, and C4F8, which generate by-product gases. However, for CF4 or SF6, which require high temperatures to achieve decomposition, an etch-type plasma-wet scrubber is considered rather than the WF type. The results of this study are expected to assist with the selection of the optimal plasma-wet scrubber according to the PFCs used in order to realize carbon neutrality in the semiconductor and display industries.

Author Contributions

All authors contributed to the research presented in this work. Their contributions are as follows. Conceptualization, Y.H.; investigation, D.K.J. and J.J.; writing—original draft preparation, B.J.L. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Korea Evaluation Institute of Industrial Technology (KEIT) through the “Next-generation Intelligent Semiconductor Technology Development Project”, funded by the Korean Ministry of Trade, Industry and Energy (MOTIE). (No.20016238) and the Korea Environment Industry &Technology Institute (KEITI) through the “Climate Change R&D Project for New Climate Regime”, funded by the Korean Ministry of Environment (MOE) (No.2022003560008).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

PFCsPerfluorinated compounds.
GWPGlobal warming potential.
DREDestruction removal efficiency.
FT-IRFourier transform infrared spectroscopy.
WFWater film.

References

  1. Illuzzi, F.; Thewissen, H. Perfluorocompounds emission reduction by the semiconductor industry. J. Integr. Environ. Sci. 2010, 7, 201–210. [Google Scholar] [CrossRef]
  2. Chung, J.K.; Lee, K.Y.; Lee, S.G.; Lee, E.M.; Mo, S.H.; Lee, D.K.; Kim, S.G. The development of scrubber for F-gas reduction from electronic industry using pressure swing adsorption method and porous media combustion method. Clean Technol. 2017, 23, 181–187. [Google Scholar]
  3. Choi, S.-S.; Park, D.-W.; Watanabe, T. Thermal plasma decomposition of fluorinated greenhouse gases. Nucl. Eng. Technol. 2012, 44, 21–32. [Google Scholar] [CrossRef] [Green Version]
  4. Han, S.-H.; Park, H.-W.; Kim, T.-H.; Park, D.-W. Large scale treatment of perfluorocompounds using a thermal plasma scrubber. Clean Technol. 2011, 17, 250–258. [Google Scholar]
  5. Chang, M.B.; Chang, J.-S. Abatement of PFCs from semiconductor manufacturing processes by nonthermal plasma technologies: A critical review. Ind. Eng. Chem. Res. 2006, 45, 4101–4109. [Google Scholar] [CrossRef]
  6. Choi, S.; Hong, S.H.; Lee, H.S.; Watanabe, T. A comparative study of air and nitrogen thermal plasmas for PFCs decomposition. Chem. Eng. J. 2012, 185, 193–200. [Google Scholar] [CrossRef]
  7. Kuroki, T.; Tanaka, S.; Okubo, M.; Yamamoto, T. CF4 decomposition using low-pressure pulse-modulated radio frequency plasma. JSME Int. J. Ser. B Fluids Therm. Eng. 2005, 48, 440–447. [Google Scholar] [CrossRef]
  8. Chen, S.-H.; Živný, O.; Mašláni, A.; Chau, S.-W. Abatement of fluorinated compounds in thermal plasma flow. J. Fluor. Chem. 2019, 217, 41–49. [Google Scholar] [CrossRef]
  9. Hong, Y.C.; Kim, H.S.; Uhm, H.S. Reduction of perfluorocompound emissions by microwave plasma-torch. Thin Solid Films 2003, 435, 329–334. [Google Scholar] [CrossRef]
  10. Kiehlbauch, M.W.; Graves, D.B. Temperature resolved modeling of plasma abatement of perfluorinated compounds. J. Appl. Phys. 2001, 89, 2047–2057. [Google Scholar] [CrossRef]
  11. Li, Y.D.; Paganessi, J.E.; Rufin, D. Emission reduction of perfluorocompounds in semiconductor manufacturers via capture and recycle. Green Eng. 2000, 6, 62–75. [Google Scholar]
  12. Mangindaan, D.; Kuo, C.C.; Lin, S.Y.; Wang, M.J. The diffusion-reaction model on the wettability gradient created by SF6 plasma. Plasma Process. Polym. 2012, 9, 808–819. [Google Scholar] [CrossRef]
  13. Wang, C.; Lai, P.-C.; Syu, S.H.; Leu, J. Effects of CF4 plasma treatment on the moisture uptake, diffusion, and WVTR of poly (ethylene terephthalate) flexible films. Surf. Coat. Technol. 2011, 206, 318–324. [Google Scholar] [CrossRef]
  14. Park, H.-W.; Cha, W.B.; Uhm, S. Highly efficient thermal plasma scrubber technology for the treatment of perfluorocompounds (PFCs). Appl. Chem. Eng. 2018, 29, 10–17. [Google Scholar]
  15. Yoon, J.; Kim, Y.; Song, H. Effect of Inlet Shape on Thermal Flow Characteristics for Waste Gas in a Thermal Decomposition Reactor of Scrubber System. Appl. Chem. Eng. 2018, 29, 510–518. [Google Scholar]
  16. Park, H.-W.; Choi, S.; Park, D.-W. Effect of reaction gases on PFCs treatment using arc plasma process. Clean Technol. 2013, 19, 113–120. [Google Scholar] [CrossRef] [Green Version]
  17. Kim, T.-W.; Jo, G.-Y.; Lee, S.-M.; Lee, K.-H.; Jin, Y.-J.; Son, B.-K. A Study on Direct Current Arc Plasma Torch Design with Preserve Nozzle for Perfluorinated Compounds (PFCs) Decomposition in Cement Kiln. Appl. Sci. Converg. Technol. 2021, 30, 137–140. [Google Scholar] [CrossRef]
  18. Lim, M.S.; Kim, S.C.; Chun, Y.N. Decomposition of PFC gas using a water jet plasma. J. Mech. Sci. Technol. 2011, 25, 1845–1851. [Google Scholar] [CrossRef]
  19. EPA (2023). Inventory of U.S. Greenhouse Gas Emissions and Sinks: 1990-2021. U.S. Environmental Protection Agency, EPA 430-R-23-002. Available online: https://www.epa.gov/ghgemissions/inventory-us-greenhouse-gas-emissions-and-sinks-1990-2021 (accessed on 13 April 2023).
  20. Moon, G.-H.; Kim, J.-Y. Study on treatment characteristics of perfluorinated compounds using a high temperature plasma. Appl. Chem. Eng. 2019, 30, 108–113. [Google Scholar]
  21. Lee, J.-Y.; Lee, J.-B.; Moon, D.-M.; Souk, J.-H.; Lee, S.-Y.; Kim, J.-S. Evaluation method on destruction and removal efficiency of perfluorocompounds from semiconductor and display manufacturing. Bull. Korean Chem. Soc. 2007, 28, 1383–1388. [Google Scholar]
  22. Efremov, A.; Lee, J.; Kim, J. On the control of plasma parameters and active species kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratio. Plasma Chem. Plasma Process. 2017, 37, 1445–1462. [Google Scholar] [CrossRef]
  23. Ho, P.; Johannes, J.E.; Buss, R.J.; Meeks, E. Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data. J. Vac. Sci. Technol. A Vac. Surf. Film. 2001, 19, 2344–2367. [Google Scholar] [CrossRef]
  24. Chang, M.B.; Yu, S.J. An atmospheric-pressure plasma process for C2F6 removal. Environ. Sci. Technol. 2001, 35, 1587–1592. [Google Scholar] [CrossRef]
  25. Takaki, K.; Urashima, K.; Chang, J. Scale-up of ferro-electric packed bed reactor for C2F6 decomposition. Thin Solid Films 2006, 506, 414–417. [Google Scholar] [CrossRef]
  26. Tschuikow-Roux, E. Kinetics of the Thermal Decomposition of C2F6 in the Presence of H2 at 1300°—1600° K. J. Chem. Phys. 1965, 43, 2251–2256. [Google Scholar] [CrossRef]
  27. Su, T.; Kevan, L. Ion cyclotron resonance studies of ionic reactions in perfluorocarbons. Excited ions and their deexcitation. J. Phys. Chem. 1973, 77, 148–154. [Google Scholar] [CrossRef]
  28. Su, T.; Kevan, L.; Tiernan, T.O. Positive ion–molecule reactions in perfluoropropane. J. Chem. Phys. 1971, 54, 4871–4880. [Google Scholar] [CrossRef]
  29. Christophorou, L.G.; Olthoff, J.K. Electron interactions with C3F8. J. Phys. Chem. Ref. Data 1998, 27, 889–913. [Google Scholar] [CrossRef]
  30. Bose, D.; Rao, M.; Govindan, T.; Meyyappan, M. Uncertainty and sensitivity analysis of gas-phase chemistry in a CHF3 plasma. Plasma Sources Sci. Technol. 2003, 12, 225. [Google Scholar] [CrossRef]
  31. Ham, Y.-H.; Shutov, D.A.; Kwon, K.-H. Surface characteristics of etched parylene-C films for low-damaged patterning process using inductively-coupled O2/CHF3 gas plasma. Appl. Surf. Sci. 2013, 273, 287–292. [Google Scholar] [CrossRef]
  32. Kokkoris, G.; Goodyear, A.; Cooke, M.; Gogolides, E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D Appl. Phys. 2008, 41, 195211. [Google Scholar] [CrossRef]
  33. Kokkoris, G.; Panagiotopoulos, A.; Goodyear, A.; Cooke, M.; Gogolides, E. A global model for SF6 plasmas coupling reaction kinetics in the gas phase and on the surface of the reactor walls. J. Phys. D Appl. Phys. 2009, 42, 055209. [Google Scholar] [CrossRef]
  34. Xiao, H.; Zhang, X.; Hu, X.; Zhu, Q. Experimental and simulation analysis on by-products of treatment of SF6 using dielectric barrier discharge. IEEE Trans. Dielectr. Electr. Insul. 2017, 24, 1617–1624. [Google Scholar] [CrossRef]
  35. Matsugi, A.; Shiina, H.; Takahashi, A.; Tsuchiya, K.; Miyoshi, A. Burning velocities and kinetics of H2/NF3/N2, CH4/NF3/N2, and C3H8/NF3/N2 flames. Combust. Flame 2014, 161, 1425–1431. [Google Scholar] [CrossRef]
  36. Choi, S. Microwave thermal decomposition of CF4 using SiC-Al2O3. J. Environ. Sci. Int. 2013, 22, 1097–1103. [Google Scholar] [CrossRef]
  37. Akhgarnusch, A.; Hockendorf, R.F.; Beyer, M.K. Thermochemistry of the reaction of SF6 with gas-phase hydrated electrons: A benchmark for nanocalorimetry. J. Phys. Chem. A 2015, 119, 9978–9985. [Google Scholar] [CrossRef]
  38. Liu, X.; Zhang, J.; Zhang, R.; Hou, H.; Chen, S.; Zhang, Y. Photoreduction of nitrogen trifluoride with controlled release of radicals. J. Chem. Technol. Biotechnol. 2014, 89, 436–447. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of plasma-wet scrubber.
Figure 1. Schematic diagram of plasma-wet scrubber.
Atmosphere 14 01220 g001
Figure 2. Schematic diagram of (a) etch type and (b) water film type.
Figure 2. Schematic diagram of (a) etch type and (b) water film type.
Atmosphere 14 01220 g002
Figure 3. Schematic diagram of experiment.
Figure 3. Schematic diagram of experiment.
Atmosphere 14 01220 g003
Figure 4. Decomposition of PFCs with power change at a flow rate of (a) 100 L/min and (b) 300 L/min in the etch type.
Figure 4. Decomposition of PFCs with power change at a flow rate of (a) 100 L/min and (b) 300 L/min in the etch type.
Atmosphere 14 01220 g004
Figure 5. Decomposition of PFCs with power change at a flow rate of (a) 100 L/min and (b) 300 L/min in the WF type.
Figure 5. Decomposition of PFCs with power change at a flow rate of (a) 100 L/min and (b) 300 L/min in the WF type.
Atmosphere 14 01220 g005
Figure 6. Decomposition of CF4 in etch type and WF type.
Figure 6. Decomposition of CF4 in etch type and WF type.
Atmosphere 14 01220 g006
Figure 7. Decomposition of PFCs in etch type and WF type.
Figure 7. Decomposition of PFCs in etch type and WF type.
Atmosphere 14 01220 g007
Figure 8. By-product generation rate of CHF3 for (a) etch type and (b) WF type.
Figure 8. By-product generation rate of CHF3 for (a) etch type and (b) WF type.
Atmosphere 14 01220 g008
Figure 9. By-product generation rate of C2F6 for (a) etch type and (b) WF type.
Figure 9. By-product generation rate of C2F6 for (a) etch type and (b) WF type.
Atmosphere 14 01220 g009
Figure 10. By-product generation rate of C3F8 for (a) etch type and (b) WF type.
Figure 10. By-product generation rate of C3F8 for (a) etch type and (b) WF type.
Atmosphere 14 01220 g010
Figure 11. By-product generation rate of C4F8 for (a) etch type and (b) WF type.
Figure 11. By-product generation rate of C4F8 for (a) etch type and (b) WF type.
Atmosphere 14 01220 g011
Table 2. Specification of plasma-wet scrubber.
Table 2. Specification of plasma-wet scrubber.
ContentsPlasma-Wet Scrubber
Type of plasmaArc
Maximum plasma power15 kW
Frequency of plasma60 Hz
Voltage of plasma208 VAC
Phase3 phase
Dimension (W × D × H)800 × 800 × 1836
Table 3. Operating conditions of experiment.
Table 3. Operating conditions of experiment.
Operating ConditionParameter
Input power (kW)6–11
Total gas flow rate (L/min)100, 300
N2 plasma gas flow rate (L/min)40~50
Reactive injection gas(air) flow rate(L/min)0.8~2
Concentration of PFCs (μmol/mol)4000–5000
Table 4. The reaction of dissociation and formation for PFCs.
Table 4. The reaction of dissociation and formation for PFCs.
ReactionNumber
Dissociation and Ionization
C 4 F 8 + e C 3 F 5 + + CF 2 + F + 2 e (6)
C 4 F 8 + e C 2 F 4 + + C 2 F 4 + 2 e (7)
C 4 F 8 + e C 4 F 7 + F (8)
C 4 F 8 + e 2 C 2 F 4 + e (9)
C 3 F 8 + e C 3 F 7 + + F (10)
C 3 F 8 + e C 2 F 5 + + CF 3 (11)
C 3 F 8 + e C 2 F 4 + + CF 4 (12)
C 3 F 8 + e C 2 F 4 + + CF 3 + F (13)
C 4 F 7 + e C 2 F 4 + C 2 F 3 + e (14)
C 2 F 3 + e CF 2 + CF + e (15)
C 3 F 7 + e C 2 F 5 + CF 2 + e (16)
C 3 F 7 + e CF 3 + + C 2 F 4 + e (17)
C 2 F 5 + e CF 3 + + CF 2 + e (18)
C 2 F 5 + e C 2 F 4 + F + e (19)
C 2 F 4 + e CF 3 + + CF + e (20)
C 2 F 4 + e 2 CF 2 + e (21)
C 2 F 3 + e CF + + CF 2 + e (22)
CHF 3 + e CHF 2 + F + e (23)
CHF 3 + e CF 3 + H + e (24)
CHF 2 + e CHF + F + e (25)
CHF 2 + e CF 2 + H + e (26)
CHF + e CF + H + e (27)
C 2 F 6 + e 2 CF 3 + e (28)
C 2 F 6 + e CF 3 + + CF 3 + 2 e (29)
C 2 F 6 + e CF 2 + + CF 4 + 2 e (30)
C 2 F 6 + e CF + + CF 4 + F + 2 e (31)
C 2 F 6 + e F + CF 2 + CF 3 (32)
CF 4 + e CF 3 + F + e (33)
CF 4 + e CF 2 + 2 F + e (34)
CF 4 + e CF 3 + + F + 2 e (35)
CF 4 + e CF 3 + F + + 2 e (36)
CF 3 + e CF 2 + F + e (37)
CF 2 + e CF + F + e (38)
CF 2 + e C + 2 F + e (39)
CF + e C + F + e (40)
SF 6 + e SF 5 + F + e (41)
SF 6 + e SF 4 + 2 F + e (42)
SF 6 + e SF 3 + 3 F + e (43)
SF 5 + e SF 4 + F + e (44)
SF 4 + e SF 3 + F + e (45)
NF 3 + e NF 2 + F + e (46)
NF 3 + e NF + F 2 + e (47)
NF 3 + e NF + F (48)
Recombination
C 3 F 8 + CF 3 + CF 4 + C 3 F 7 + (49)
C 3 F 8 + C 2 F 5 + C 2 F 6 + C 3 F 7 (50)
CHF 3 + F CF 3 + HF (51)
CHF 2 + F CF 2 + HF (52)
CHF 2 + CF 3 CHF 3 + CF 2 (53)
CHF + F CF + HF (54)
CF 3 + F + e CF 4 + e (55)
CF 2 + F + e CF 3 + e (56)
CF + F + e CF 2 + e (57)
SF 5 + F SF 6 (58)
SF 4 + F SF 5 (59)
SF 3 + F SF 4 (60)
OH and H radical reaction
H 2 O + e OH + H + e (61)
CF 3 + OH COF 2 + HF (62)
CF 2 + OH COF + HF (63)
CF + OH CO + HF (64)
COF + OH CO 2 + HF (65)
CHF 3 + H CF 3 + H 2 (66)
CHF 2 + H CHF + HF (67)
CHF 2 + H CF 2 + H 2 (68)
NF 3 + H NF 2 + HF (69)
NF 2 + H NF + HF (70)
SF 5 + OH SOF 4 + HF (71)
SF 4 + OH + F SOF 4 + HF (72)
SF 3 + OH SOF 2 + HF (73)
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Lee, B.J.; Hwang, Y.; Jo, D.K.; Jeong, J. A Study on Greenhouse Gas (PFCs) Reduction in Plasma Scrubbers to Realize Carbon Neutrality of Semiconductors and Displays. Atmosphere 2023, 14, 1220. https://doi.org/10.3390/atmos14081220

AMA Style

Lee BJ, Hwang Y, Jo DK, Jeong J. A Study on Greenhouse Gas (PFCs) Reduction in Plasma Scrubbers to Realize Carbon Neutrality of Semiconductors and Displays. Atmosphere. 2023; 14(8):1220. https://doi.org/10.3390/atmos14081220

Chicago/Turabian Style

Lee, Bong Jae, Yujin Hwang, Dong Ki Jo, and Jongmoon Jeong. 2023. "A Study on Greenhouse Gas (PFCs) Reduction in Plasma Scrubbers to Realize Carbon Neutrality of Semiconductors and Displays" Atmosphere 14, no. 8: 1220. https://doi.org/10.3390/atmos14081220

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop