Next Article in Journal
Progress in Polyhedral Oligomeric Silsesquioxane (POSS) Photoresists: A Comprehensive Review across Lithographic Systems
Previous Article in Journal
Control of Meniscus Formation Using an Electrohydrodynamics Module in Roll-to-Roll Systems for the Stable Coating of Functional Layers
Previous Article in Special Issue
Electrospinning Silk-Fibroin-Based Fibrous Membranes with AgNPs for Antimicrobial Application
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Characterization of Ceria Nanoparticles as Abrasives Applied with Defoaming Polymers for CMP (Chemical Mechanical Polishing) Applications

Department of Chemistry, University of Hannam, Daejeon 34430, Republic of Korea
*
Author to whom correspondence should be addressed.
Polymers 2024, 16(6), 844; https://doi.org/10.3390/polym16060844
Submission received: 27 February 2024 / Revised: 15 March 2024 / Accepted: 16 March 2024 / Published: 19 March 2024

Abstract

:
Chemical mechanical polishing/planarization (CMP) is an essential manufacturing process in semiconductor technologies. This method combines chemical and mechanical forces to smooth the surfaces of wafers. The effectiveness of CMP relies on a carefully chosen slurry, demanding a sophisticated manufacturing technology. This technology must seamlessly integrate both chemical composition and mechanical elements, highlighting the intricate synergy required for successful semiconductor fabrication. Particularly in milling processes, if agglomerated particles due to slurry particle corrosion are present during polishing, uneven polishing, numerous fine scratches occur, leading to an increase in roughness and a deterioration in the quality of the finished surface. In this study, to overcome the issue of particle agglomeration and uneven polishing in commonly used ceria nanoparticle slurries during CMP processes, we investigated the ceria nanoparticle behavior based on styrene–maleic acid (SMA) dispersant polymer applied with three types of defoaming polymers. The investigations are expected to open up the possibility of utilizing ceria nanoparticles with applied defoaming polymer as an abrasive for advanced CMP applications. All samples were characterized by DLS (dynamic light scattering), SEM-EDX (scanning electron microscopy–energy dispersive X-ray spectroscopy), pH, conductivity, viscosity, a 10-day stability test at 60 °C, the AF4 test, and the polishing rate efficiency test. Our research demonstrates a significant improvement achieved through the use of SMA dispersant polymer, resulting in a polishing selection ratio exceeding 80 for oxide and nitride films. The G-336 defoaming polymer utilized here is expected to serve as a viable alternative in CMP processes by providing stable uniformity.

1. Introduction

Chemical mechanical polishing/planarization (CMP) is a universally recognized procedure for providing a global surface finish to different materials for a variety of applications such as jewelry, precise optics, laser techniques, and electronics [1,2,3,4]. It is a surface smoothening process with the combination of controlled mechanical force such as pressure or the relative motion of the polishing tool and a chemical reaction for achieving desired materials removal in the semiconductor industry [1,4,5,6,7,8].
In general, the advantages of using CMP as a global planarization technique can be invalidated by contamination slurry chemicals and particles from abrasive particles, pattern-related defects like dishing and erosion, delamination, etc. Therefore, it is crucial to assess the requirements of a high oxide removal rate (RR) to overcome these new challenges for the CMP process.
CMP is a particularly important part of the integrated circuit (IC) manufacturing process. CMP treatment of the wafer surface can greatly improve the flatness of the wafer, which will affect the subsequent process. The process of polishing the fused silica surface with abrasives and the scratches caused by abrasives are shown in Figure 1 [9]. The fused silica adhered to the polishing head is placed on the polishing pad under a certain pressure, and the polishing head rotates at a constant speed while the polishing slurry drops on the polishing pad at a certain speed. In this process, the abrasive will leave certain scratches on the fused silica, which should be avoided as much as possible during the polishing process. The shape and hardness of the abrasive play a decisive role in the removal effect and scratch state of the material. Therefore, it is very important to find an appropriate abrasive shape to improve the polishing performance. The polishing rate varies depending on the size and concentration of the abrasive particles, so achieving the optimal particle size distribution (PSD) is crucial for maximizing RR without damaging the surface.
Furthermore, achieving a balance of three components such as ceramic particles, water, and dispersants is crucial for the dispersion of colloidal slurries. Specifically, the dispersibility of ceramic particles within the slurry is significantly influenced by the concentration of dispersants, and variations in dispersant content can lead to particle agglomeration. Therefore, it is highly important to maintain a balance among the three components of ceramic particles, dispersants, and water in the composition of the slurry, as illustrated in Figure 2 [10,11,12].
Ceria-based polishing powders are typically produced by subjecting suitable precursors to thermal decomposition. These precursors commonly include cerium oxalates, hydroxides, acetates, and carbonates [13,14,15,16,17]. Cerium oxide (CeO2) is recognized for its elevated oxide removal rate (RR) owing to its strong chemical interaction with an oxide surface [18,19]. Various mechanisms explaining this interaction have been proposed by numerous researchers, with a consensus that the active sites for the reaction involve Ce3+ ions on the ceria surfaces [19,20]. The formation of an oxygen vacancy results in the reduction of cerium ions within the lattice, transitioning from Ce4+ to Ce3+. Ce3+ plays a pivotal role in initiating the reaction with the oxide surface forming strong Ce-O-Si chemical bonds. This strong adhesion accelerates the generation of Ce-O-Si bonds, subsequently enhancing the RR of SiO2 and exhibiting good selectivity for Si3N4 [19,20,21,22,23,24].
Studies have concentrated on enhancing the surface concentration of Ce3+ ions, leading to an elevated removal rate (RR) of the SiO2 layer. This is attributed to the strong interaction between ceria and SiO2 [19,23]. Kim’s group introduced a colloidal ceria abrasive featuring both spherical and nanocluster structures, characterized by a higher concentration of Ce3+ [19]. To enhance the oxide removal efficiency, several investigations have focused on increasing the concentration of Ce3+ ions in ceria abrasives. Kim et al. explored the impact of Ce3+ ion concentration on the removal rate (RR) of SiO2 layers and proposed a method for synthesizing ceria particles with a high concentration of Ce3+ ions by reducing the primary particle size. They reported that smaller particles demonstrate increased polishing efficiency attributed to the abundance of Ce ions [23].
Generally, the preparation methods of cerium oxide include calcination, the sol-gel method, the precipitation method, the hydrothermal method, the solvothermal method, the hydroxide-mediated method, etc., of which the calcination method is the most commonly used [25,26,27,28,29,30]. Indeed, calcined ceria slurry is anticipated to serve as a viable alternative slurry candidate, primarily due to the small size and regular shape of its particles in comparison with traditional calcined ceria particles [31].
According to DLVO theory [32], an appropriate dispersant concentration can regulate electrostatic double-layer interactions, preventing close contact between ceria nanoparticles and maintaining dispersion, thereby reducing cohesion. Furthermore, as the cohesion between particles decreases, scratches are minimized during the CMP process, allowing for more effective surface treatment and potentially increasing polishing rates and selectivity (as shown in Figure 3).
However, during the milling process, agglomerated particles resulting from slurry particle corrosion can lead to numerous fine scratches and an increase in surface roughness, thereby degrading the quality of the finished surface. Several studies have identified more suitable methods for surface roughness analysis, particularly in milling processes, when the presence of undifferentiated particles during polishing can lead to surface quality degradation and rough outcomes, potentially resulting in fine scratches [33,34].
To solve these problems and enhance the dispersion of calcined ceria, dispersants and surfactants are added to minimize inter-particle interactions. Several researchers have specifically investigated enhancing the dispersibility of ceria slurries using copolymers, and anionic polymers [35,36,37]. Dispersant-adjusted ceria particles are known to undergo transitions of bridging agglomeration-stable-flocculation depending on their physicochemical conditions such as pH and concentration [38].
The application of a defoaming polymer is particularly beneficial. It helps prevent the formation of bubbles on the oxide surface, thereby enhancing the Ce-O-Si bonding force and resulting in a higher oxide polishing rate. By adding poly propylene glycol (PPG) defoaming polymer, it is possible to prevent bubbles on the oxide surface and increase the Ce-O-Si bonding force, resulting in a high oxide polishing rate. The nitride polishing rate can be reduced by suppressing the hydrolysis reaction of the nitride surface due to the strong bond of SMA to the ceria surface. Therefore, the selection ratio between oxide and nitride can be increased, as shown in Figure 4.
In this study, our objective is to develop a highly efficient CMP slurry. This slurry will incorporate calcined ceria nanoparticles along with an SMA dispersant polymer, with the addition of three types of defoaming polymers (PPG) using various concentrations based on the SMA dispersant in the previous work [39]. The goal is to overcome particle agglomeration, stable polishing uniformity, and scratches among particles. Additionally, we aim to enhance the polishing rate efficiency of the slurry through the use of these additives.

2. Experimental Methods

2.1. Preparation of Calcined Ceria Nanoparticles

Cerium carbonate hydrate (Ce2(CO3)3)∙6H2O powder was calcined at temperatures ranging from 500 to 1000 °C to obtain cerium oxide powder for use as abrasive particles in the polishing test. For the slurry preparation, deionized water (aquapuri 5 series by YOUNG IN SCIENTIFIC.Co., Ltd., Seoul, Republic of Korea ) and an acrylic acid-based dispersant polymer (Vanderbilt Minerals, LCC, Gouverneur, NY, USA) were utilized.

2.2. Preparation of the Ceria Slurry

To manufacture the ceria slurry, 600 g of slurry, consisting of ceria powder (180 g), 27 g of dispersant polymer, and 393 g of distilled water, was processed using a Basket-mill (Tedi, JS Basket-mill Mill, Daejeon, Republic of Korea). During dispersion, beads with a size of 0.2 mm were utilized with a bead filling ratio set at 60%. Additionally, the milling process was conducted at 1500 rpm for 3 h. The obtained slurry was then diluted to achieve solid content with a fixed amount.

2.3. Polishing Experiments

Polishing tests were carried out using AP-300 equipment (CTS Company, Cheongju, Republic of Korea). Pads were set to rotate at a speed of 93 rpm under a downward load, and the slurry flow rate was maintained at 180 mL/min. A uniform polishing time of 60 s was set, and conditioning was performed for 10 min using a conditioner. The wafers used included plasma-enhanced tetraethylorthosilicate (PETEOS), silicon nitride, and Polysilicon. In addition, for the purpose of comparison, the pad before polishing was employed as a control group to evaluate the polishing rate for each slurry.

2.4. Characterizations

To evaluate the stability of the manufactured slurry, we employed a pH meter (Thermo-scientific, OrionstarsA215, Waltham, MA, USA), conductivity meter (Thermo-scientific, OrionstarsA215 USA), and viscometer (Brookfield, DV Next Cone/Plate Rheometer, New York, NY, USA). Dynamic light scattering (DLS, ELS-2000, Otsuka Electronics, Japan) was used for measuring particle size and size distribution. On the other hand, AF (Asymmetrical Flow Field-Flow Fractionation) analysis was performed under the conditions of a flow rate of 0.6 mL/min, a cross-flow rate of 0.5 mL/min, and a carrier liquid solution consisting of 0.1% FL70TM (Fisher chemical, detergent) and 0.01% NaN3 for size distribution analysis. For characterizing the shape and size of the ceria slurry particles, a field-emission scanning electron microscope (FE-SEM, JEOL-7800F, JEOL Ltd., Tokyo, Japan) was employed.

3. Results and Discussion

3.1. Preparation of Calcined Ceria Nanoparticles

Figure 5 shows SEM images of cerium carbonate (Ce2(CO3)3)∙6H2O and calcined ceria nanoparticles (CeO2). The cerium carbonate appears to have a size in the sub-micrometer range and exhibits significant agglomeration. On the other hand, the calcined ceria nanoparticles have sizes ranging from approximately tens to hundreds of nanometers and do not exhibit observable agglomeration. These changes in nanoparticle morphology and size can impact CMP performance. Nanoparticles with uniform size and shape can provide more consistent surface properties during the polishing process, thereby enhancing surface uniformity and finishing quality. This suggests the potential suitability of using them as abrasives for CMP slurry.
The EDX results in Table 1 reveal a relatively consistent surface distribution of cerium (Ce), consistent with the analysis that confirms an increase in Ce content of over 70% after calcination at 800 °C. This suggests that the calcination pre-treatment conditions were well executed.

3.2. Properties of Synthesized SMA-1000 Dispersion Material

SMA (styrene–maleic acid) is a copolymer compound derived from the polymerization of styrene and maleic anhydride. A modified SMA dispersant polymer with vinyl functionality was synthesized using SMA monomers through radical reactions under alkaline conditions, as shown in Figure 6. The synthesized SMA dispersant polymer has a pH of 8.07 and a weight-average molecular weight (MW) of 8.60 × 104, as listed in Table 2. It is believed that the stability of ceria nanoparticles could be improved when the pH of the modified SMA dispersant polymer is mildly alkaline, such as 8.07. The molecular weight of the modified SMA dispersant polymer can influence the particle size distribution within the CMP slurry. A higher molecular weight maintains a more stable dispersion, preventing the aggregation of ceria nanoparticles and maintaining dispersion. This improvement in dispersion enhances polishing efficiency in the CMP process.
Figure 7 shows the stability tests of the SMA-1000 dispersant polymer at concentrations of 4.0%, 4.5%, and 5.0%, respectively. To determine the stability, the prepared dispersants were maintained at 60 °C for 35 days. Subsequently, the prepared SMA-1000 dispersant polymer was measured for pH, conductivity, viscosity, and particle size analysis. The pH, conductivity, and particle size increased from 9.43 to 9.46, 231 μS/cm to 262 μS/cm, and 216 nm to 232 nm, respectively. Meanwhile, the viscosity showed a slight increase from 1.34 cP to 1.35 cP with the rising concentration of the SMA-1000 dispersion material at concentrations of 4.0%, 4.5%, and 5.0%. These results are indicated in Table 3.

3.3. Properties of SMA-1000 Dispersion Applied with Three Types of Defoaming Polymers as Additives

Typically, ceria slurry with added SMA dispersant exhibits a satisfactory grinding efficiency. However, extended milling time is necessary to eliminate bubbles, which resulted in challenges for process application in our previous study.
According to our goals, we aimed to find the optimal defoaming polymer among Depol, BYK, and G-336 by applying them with the modified SMA-1000 dispersant polymer, ensuring good compatibility and improving thermal stability, dispersion stability, and grinding efficiency.
Figure 8 illustrates the DLS evaluation results for stability based on the defoaming polymer at various concentrations of 0.010%, 0.025%, and 0.050% at 60 °C over a 10-day period for Depol, BYK, and G-336 samples. Slight changes with increasing concentration are observed in particle size for the Depol and BYK defoaming polymers, while the G-336 defoaming polymer shows no significant variations in the DLS results as the concentration increases. The phenomenon of the G-336 defoaming polymer is attributed to its relatively higher stability compared with the other defoaming polymers. When the concentration of the defoaming polymer is 0.025% or less, both the defoaming efficiency and properties exhibit stable results in terms of storage stability (10 days at 60 °C).
Table 4 summarizes the stability tests of ceria slurry with the application of Depol, BYK, and G-336 deforming polymers as additives. As the concentration of the Depol defoaming polymer increased, the pH of the ceria slurry was measured at 9.68, 9.68, and 9.67, respectively. The conductivity was confirmed to be 205 μS/cm, 208 μS/cm, and 209 μS/cm, respectively.
There was no significant change in particle size measured at 183, 183, and 181 nm, respectively, corresponding to the viscosity at 1.33, 1.32, and 1.32 in the Depol deformer. In the case of an increasing concentration of the BYK defoaming polymer, the pH of the ceria slurry was measured at 9.68 in all samples. The conductivity was determined to be 206 μS/cm, 209 μS/cm, and 212 μS/cm, respectively. The viscosity was measured at 1.32 cP, 1.31 cP, and 1.31 cP, and the particle size was measured at 183 nm, 184 nm, and 197 nm, respectively.
Finally, the pH showed a slight variation, measuring 9.64, 9.65, and 9.66, respectively with the G-336 defoaming polymer as the concentration increased. The viscosity remained nearly constant at 1.32 cP. On the other hand, the conductivity increased with concentration, reaching 218 μS/cm, 219 μS/cm, and 245 μS/cm, especially showing high conductivity with the G-336 defoaming polymer at a concentration of 0.05%. The particle size remained relatively stable, measuring 179 nm, 179 nm, and 180 nm, respectively. Considering these results, it is anticipated that the G-336 defoaming polymer, due to its high compatibility with the SMA-1000 dispersant polymer, will demonstrate effective grinding efficiency in the ceria slurry.

3.4. Properties of Ceria Slurry Applied with Three Types of Defoaming Polymers as Additives

Figure 9 characterizes the particle size distribution (PSD) of a ceria slurry, comparing samples without any defoaming polymer (Base) to those with the addition of three different defoaming polymers (Depol, BYK, and G-336). The analysis is conducted in terms of both volume and number using DLS analysis. In both distributions, the sizes follow the order of Depol, Base, G-336, and BYK, showing a decrease in size.
These findings suggest that the BYK and G-336 defoaming polymers might offer enhanced dispersibility and compatibility with the ceria slurry compared with Depol and Base. Detailed evaluation results can be found in Table 5.
To obtain a more detailed size distribution with the addition of specific defoaming polymers, we conducted AF analysis on ceria slurries without a defoaming polymer (Base) and with three types of defoaming polymers at a concentration of 0.025%, as shown in Figure 10. The retention times were similar for all samples, and the main peak sizes were observed as follows: 72.2 nm for the sample without defoaming polymer, 62.6 nm for the Depol defoaming polymer, 73.3 nm for the BYK defoaming polymer, and 82 nm for the G-336 defoaming polymer. While the sizes analyzed with the BYK and G-336 defoaming polymers were smaller (in the previous DLS analysis) in the AF analysis, they were measured slightly larger compared with the Base and Depol samples. However, since no minor peaks were observed, this indicates a more uniform size distribution. A summary of the results of the AF analysis with the application of defoaming polymers is provided in Table 6.
Figure 11 presents SEM images of the results of observing ceria nanoparticle sizes in ceria slurries without a defoaming polymer (Base) and with the application of Depol, BYK, and G-336 defoaming polymers using FE-SEM analysis. In the case of the Base and Depol defoaming polymer-applied slurries, ceria nanoparticles exhibit an irregular size distribution with a limited number of particles visible at a certain angle. In contrast, the slurries adapted with BYK and G-336 defoaming polymers show ceria nanoparticles with sizes ranging between about ca. 20 and 30 nm, and a significantly larger number of particles is observed. Based on the SEM results, it can be suggested that ceria nanoparticles with a consistent size in the range of approximately 20 to 30 nm, observed in the ceria slurries with BYK and G-336 defoaming polymers, may yield more impactful results in future polishing rate tests.
Furthermore, there was no apparent difference in the morphology of the ceria nanoparticles between the Base and the Depol defoaming polymer samples. However, distinctive aggregation of several hundred nanometers was observed in pure ceria particles (Base), while the modified ceria nanoparticles exhibited relatively good dispersibility. This further indicates the enhanced dispersibility of the surface-modified ceria particles. This can also be observed by examining the images before and after the addition of the G-336 defoaming polymer, as shown in Figure 11.

3.5. Polishing Test of Ceria Slurry Applied with Defoaming Polymers as Additives

Polishing rate experiments were carried out on thermally grown silicon oxide and nitride films on silicon wafers (Noel Technologies, Campbell, CA, USA) and were polished on a CTS’s company polisher using AP-300 Groove pads (Cheongju, Republic of Korea) made of polyurethane (IC 1010). Before each experiment, the polishing pad was conditioned for 1 min with a diamond grit conditioner using deionized water. The aqueous polishing slurry (1 wt%) was sonicated for 30 min and was placed on a roller miller to maintain good dispersion. The results of the CMP performance as a function of the applied three types of defoaming polymers for ceria slurry are shown in Table 7.
The polishing rate efficiency of PETEOS for the ceria slurry samples, including the base sample without a defoaming polymer (Base) and those with Depol, BYK, and G-336 defoaming polymers, was measured at 3493 Å/min, 4650 Å/min, 5558 Å/min, and 5417 Å/min, respectively. Both BYK and G-336 dispersants showed an increase in the polishing rate of over 50% compared with the initial rate of the Base condition. The application of defoaming polymers led to an increase in polishing efficiency. Additionally, the nitride-stopping efficiency was measured in the order of G-336, Depol, and BYK for defoaming polymer-applied ceria slurries. Specifically, the ceria slurry with G-336 defoaming polymer exhibited significantly higher selectivity compared with the other samples. Particularly, the selectivity ratio for the ceria slurry with the G-336 dispersant was confirmed to be 80. This suggests that the incorporation of the G-336 defoaming polymer into the dispersant polymer leads to superior thermal stability and increasing uniformity, as evidenced by the absence of bubble generation, as observed in Figure 12.

4. Conclusions

In this study, research was conducted on calcined ceria nanoparticles using SMA-1000 dispersant polymer applied with three types of defoaming polymers as additives, aiming to eliminate bubbles, enhance particle stability, and increase the polishing selection ratio. The calcined cerium oxide (CeO2) exhibited sizes ranging from tens to hundreds of nanometers, revealing a cerium content of 74.3% in EDX analysis. Stability tests were conducted on the SMA-1000 dispersant polymer at concentrations of 4.0%, 4.5%, and 5.0% at 60 °C for 60 days. As the concentration increased, the pH slightly increased, while the viscosity showed a slight change. On the other hand, the conductivity increased to 231 μS/cm, 248 μS/cm, and 262 μS/cm, and the size also exhibited an increasing trend at 216 nm, 224 nm, and 232 nm, respectively.
The stability tests were performed on ceria slurries containing SMA dispersant polymer and three types of defoaming polymers for pH, conductivity, viscosity, and size analysis. The results indicated that the addition of the G-336 defoaming polymer to the ceria slurry resulted in the following high conductivity values: 218 μS/cm at a concentration of 0.01%, 219 μS/cm at a concentration of 0.025%, and 245 μS/cm at a concentration of 0.050%. The DLS results also revealed smaller sizes, measuring 179 nm at concentrations of 0.010% and 0.025%, and 180 nm at a concentration of 0.050%, when compared with the other defoaming polymers. This suggests that the G-336 defoaming polymer has a positive effect on particle behavior in ceria nanoparticles containing SMA-1000 dispersant polymer, providing excellent colloidal stability.
Furthermore, AF4 analysis was performed to confirm the size distribution, and the results showed a monodisperse fractogram for ceria slurries with the BYK and G-336 defoaming polymers compared with defoaming polymers.
Finally, polishing rate tests were conducted on ceria slurries with defoaming polymers. The results showed superior polishing efficiency for the ceria slurry containing the G-336 defoaming polymer and SMA-1000 dispersant polymer. The PETEOS polishing rate was 5417 Å/min and the nitride polishing rate was 68 Å/min, with a high selection ratio of 80. This indicates excellent polishing efficiency when applying the G-336 defoaming polymer, suggesting that it did not cause bubble formation and positively influenced particle behavior during dispersion.
In conclusion, the enhanced CMP performance obtained using the G-336 defoaming polymer and SMA-1000 dispersant polymer developed in this study suggests potential innovative advancements in slurry manufacturing and process efficiency for future CMP applications.

Author Contributions

Conceptualization, W.K.; Validation, W.K.; Formal analysis, S.H.; Data curation, S.H.; Writing—original draft, S.H.; Writing—review & editing, W.K.; Supervision, W.K.; Project administration, W.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the “Leaders in Industry-university Cooperation 3.0” Project and the National Research Foundation of Korea (NRF) grant funded by the Korean government (MSIT) (RS-2023-00281517). Also, this work was supported by the Regional Specialized Industry Development Plus Program (S3367957) and the Development of new products subject to purchase conditions (S3233769) funded by the Ministry of SMEs and Startups (MSS, Republic of Korea).

Institutional Review Board Statement

Not applicable.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Nanz, G.; Camilletti, L.E. Modeling of chemical-mechanical polishing: A review. Semicond. Manuf. 1995, 8, 382–389. [Google Scholar] [CrossRef]
  2. Bouzid, D.; Belkhie, N.; Aliouane, T. Optical glass surfaces polishing by cerium oxide particles. IOP Conf. Ser. Mater. Sci. Eng. 2012, 28, 012007. [Google Scholar] [CrossRef]
  3. Wang, L.; Zhang, K.; Song, Z.; Feng, S. Ceria concentration effect on chemical mechanical polishing of optical glass. Appl. Surf. Sci. 2007, 253, 4951–4954. [Google Scholar] [CrossRef]
  4. Zhong, Z.W.; Tian, Y.B.; Ng, J.H.; Ang, Y.J. Chemical mechanical polishing (CMP) processes for manufacturing optical silicon substrates with shortened polishing time. Mater. Manuf. Process. 2014, 29, 15–19. [Google Scholar] [CrossRef]
  5. Cheng, J.; Huang, S.; Li, Y.; Wang, T.; Xie, L.; Lu, X. RE (La, Nd and Yb) doped CeO2 abrasive particles for chemical mechanical polishing of dielectric materials: Experimental and computational analysis. Appl. Surf. Sci. 2020, 506, 144668–144676. [Google Scholar] [CrossRef]
  6. Oh, S.; Seok, J. An integrated material removal model for silicon dioxide layers in chemical mechanical polishing processes. Wear 2009, 266, 839–849. [Google Scholar] [CrossRef]
  7. Wang, Y.; Zhao, Y.W.; Chen, X. Chemical mechanical planarization from macroscale to molecular-scale. Mater. Manuf. Process. 2012, 27, 641–649. [Google Scholar] [CrossRef]
  8. Tian, Y.B.; Ang, Y.J.; Zhong, Z.W.; Xu, H.; Tan, R. Chemical mechanical polishing of glass disk substrates: Preliminary experimental investigation. Mater. Manuf. Process. 2013, 28, 488–494. [Google Scholar] [CrossRef]
  9. Xu, G.; Zhang, Z.; Meng, F.; Liu, L.; Liu, D.; Shi, C.; Cui, X.; Wang, J.; Wen, W. Atomic-scale surface of fused silica induced by chemical mechanical polishing with controlled size spherical ceria abrasives. J. Manuf. Process. 2023, 85, 783–792. [Google Scholar] [CrossRef]
  10. Kim, J.Y.; Han, S.J.; Kim, S.S. The Enhanced Electrophoresis Method in Leachate System for Repairing of Leaks in Waste Landfill Geomembrane Liner. J. Korean Soc. Civ. Eng. 2010, 30, 7–15. [Google Scholar]
  11. Song, G.D.; Kim, M.H.; Lee, Y.T.; Maeng, W.Y. Improvement in the Dispersion Stability of Iron Oxide (Magnetite, Fe3O4) Particles with Polymer Dispersant Injection. Appl. Chem. Eng. 2013, 24, 656–662. [Google Scholar] [CrossRef]
  12. Lee, S.B.; Park, H.H.; Bae, I.S.; Yoon, J.S.; Kim, B.J. Effect of Al, Al2O3 Dispersants and Heat Treatment on Deposits from Watt’s Ni Plating Bamth. Korean J. Mater. Res. 2002, 12, 153–159. [Google Scholar]
  13. Hedrick, J.B.; Sinha, S.P. Cerium-based polishing compounds: Discovery to manufacture. J. Alloys Compd. 1994, 207–208, 377–382. [Google Scholar] [CrossRef]
  14. Urie, R.W.; Wylie, A.W. Rare earth oxides for glass polishing. J. Soc. Chem. Ind. 1947, 66, 433–436. [Google Scholar] [CrossRef]
  15. Kosynkin, V.D.; Arzgatkina, A.A.; Ivanov, E.N.; Chtoutsa, M.G.; Grabko, A.I.; Kardapolov, A.V. The study of process production of polishing powder based on cerium dioxide. J. Alloys Compd. 2000, 303–304, 421–425. [Google Scholar] [CrossRef]
  16. Kim, D.-H.; Kim, S.-K.; Kang, H.-G.; Park, J.-G.; Paik, U. The effect of cerium precursor agglomeration on the synthesis of ceria particles and its influence on shallow trench isolation chemical mechanical polishing performance. Jpn. J. Appl. Phys. 2005, 44, 8422–8426. [Google Scholar] [CrossRef]
  17. Li, Y.; Cheng, C.; Chen, W.; Hu, J.; Zhou, X.; Hu, P. Preparation and polishing property of ultra-fine ceria by calcining hydrate cerium acetate directly. Chin. J. Inorg. Chem. 2006, 22, 733–737. [Google Scholar]
  18. Kurokawa, S.; Toyama, T.; Hayashi, T.; Suda, E.; Tokuda, J. Controllable CMP of oxide flim by using colloidal ceria slurry. In Proceedings of the ICPT 2017—International Conference on Planarization/CMP Technology, Leuven, Belgium, 11–13 October 2017; pp. 177–182. [Google Scholar]
  19. Kim, N.Y.; Kim, G.; Sun, H.; Hwang, U.; Kim, J.; Kwak, D.; Park, I.-K.; Kim, T.; Suhr, J.; Nam, J.-D. A nanoclustered ceria abrasives with low crystallinity and high Ce3+/Ce4+ ratio for scratch reduction and high oxide removal rates in the chemical mechanical planarization. J. Mater. Sci. 2022, 57, 12318–12328. [Google Scholar] [CrossRef]
  20. Kim, E.; Hong, J.; Seok, H.; Kim, T. Photo oxidative degradation of polyacids derived ceria nanoparticle modulation for chemical mechanical polishing. Sci. Rep. 2022, 12, 1613. [Google Scholar] [CrossRef]
  21. Sahir, S.; Yerribonia, N.P.; Han, S.Y.; Han, K.M.; Kim, T.G.; Mahadev, N.; Park, J.G. Investigation of the effect of different cleaning forces on Ce-O-Si bonding during oxide post-CMP cleaning. Appl. Surf. Sci. 2021, 545, 149035–149046. [Google Scholar] [CrossRef]
  22. Myong, K.K.; Byun, J.; Choo, M.J.; Kim, H.; Kim, J.; Lim, Y.T.; Kim, J.J. Direct and quantitative study of ceria–SiO2 interaction depending on Ce3+ concentration for chemical mechanical planarization (CMP) cleaning. Mater. Sci. Semicond. Process. 2021, 122, 105500–105506. [Google Scholar] [CrossRef]
  23. Kim, K.; Yi, D.K.; Paik, U. Increase in Ce3+ concentration of ceria nanoparticles for high removal rate of SiO2 in chemical mechanical planarization. ECS J. Solid State Sci. Technol. 2017, 6, 681–685. [Google Scholar] [CrossRef]
  24. Netzband, C.M.; Dunn, K. Investigation into the effect of CMP slurry chemicals on ceria abrasive oxidation state using XPS. ECS J. Solid State Sci. Technol. 2019, 8, 629–633. [Google Scholar] [CrossRef]
  25. Lin, S.-S. Preparing an active cerium oxide catalyst for the catalytic incineration of aromatic hydrocarbons. Appl. Catal. 2004, 268, 227–233. [Google Scholar]
  26. He, H.-W.; Wu, X.-Q.; Ren, W.; Peng, S.; Xi, Y.; Zhi, S.T. Synthesis of crystalline cerium dioxide hydrosol by a sol–gel method. Ceram. Int. 2012, 38, S501–S504. [Google Scholar] [CrossRef]
  27. Chen, H.I.; Chang, H.-Y. Synthesis of nanocrystalline cerium oxide particles by the precipitation method. Ceram. Int. 2005, 31, 795–802. [Google Scholar] [CrossRef]
  28. Rojas, S.; Gispert, J.D.; Abad, S.; Buaki-Sogo, M.; Victor, V.M. In Vivo biodistribution of amino-functionalized ceria nanoparticles in rats using positron emission tomography. Mol. Pharm. 2012, 9, 3543–3550. [Google Scholar] [CrossRef]
  29. Annis, J.W.; Fisher, J.M.; Thompsett, D.; Walton, R.I. Solvothermal synthesis routes to substituted cerium dioxide materials. Inorganics 2021, 9, 40. [Google Scholar] [CrossRef]
  30. Ali, M.M.; Mahdi, H.S.; Parveen, A. Optical properties of cerium oxide (CeO2) nanoparticles synthesized by hydroxide mediated method. AIP Conf. Proc. 2018, 1953, 030044. [Google Scholar]
  31. Wakamatsu, K.; Kurokawa, S.; Toyama, T.; Hayashi, T. CMP characteristics of quarts glass substrate by aggregated colloidal ceria slurry. Precis. Eng. 2019, 60, 458–464. [Google Scholar] [CrossRef]
  32. Lyklema, J.; van Leeuwen, H.P.; Minor, M. DLVO-theory, a dynamic re-interpretation. Adv. Colloid Interface Sci. 1999, 83, 33–69. [Google Scholar] [CrossRef]
  33. Kabir, H.; Garg, N. Rapid prediction of cementitious initial sorptivity via surface wettability. NPJ Mater. Degrad. 2023, 7, 52. [Google Scholar] [CrossRef]
  34. Deltombe, R.; Kubiak, K.J.; Bigerelle, M. How to Select the Most Relevant 3D Roughness Parameters of a Surface. Scanning 2014, 36, 150–160. [Google Scholar] [CrossRef]
  35. Seo, J.; Lee, J.W.; Moon, J.; Sigmund, W.; Paik, U. Role of the surface chemistry of ceria surfaces on silicate adsorption. ACS Appl. Mater. Interfaces 2014, 6, 7388. [Google Scholar] [CrossRef] [PubMed]
  36. Kim, S.K.; Lee, S.; Paik, U.; Katoh, T.; Park, J.G. Influence of the electrokinetic behaviors of abrasive ceria particles and the deposited plasma-enhanced tetraethylorthosilicate and chemically vapor deposited Si3N4 films in an aqueous medium on chemical mechanical planarization for shallow trench isolation. J. Mater. Res. 2003, 18, 2163. [Google Scholar]
  37. Hackley, V.A. Colloidal processing of silicon nitride with poly (acrylic acid): I, adsorption and electrostatic interactions. J. Am. Ceram. Soc. 1997, 80, 2315. [Google Scholar] [CrossRef]
  38. Sehgal, A.; Lalatonne, Y.; Berret, J.-F.; Morvan, M. Precipitation−redispersion of cerium oxide nanoparticles with poly(acrylic acid): Toward stable dispersions. Langmuir 2005, 21, 9359. [Google Scholar] [CrossRef] [PubMed]
  39. Lee, J.; Bae, J.; Kim, W.; Lee, S. A Study on Aqueous Dispersing of Carbon Black Nanoparticles Surface-Coated with Styrene Maleic Acid (SMA) Copolymer. Polymers 2022, 14, 5455. [Google Scholar] [CrossRef]
Figure 1. Schematic illustration of the CMP process using ceria nanoparticles as an abrasive.
Figure 1. Schematic illustration of the CMP process using ceria nanoparticles as an abrasive.
Polymers 16 00844 g001
Figure 2. Importance of three components in ceria nanoparticle slurry.
Figure 2. Importance of three components in ceria nanoparticle slurry.
Polymers 16 00844 g002
Figure 3. Schematic interaction energy vs. distance profiles of DLVO interaction. The attractive van der Waals and the repulsive electrostatic potentials form the total interaction energy.
Figure 3. Schematic interaction energy vs. distance profiles of DLVO interaction. The attractive van der Waals and the repulsive electrostatic potentials form the total interaction energy.
Polymers 16 00844 g003
Figure 4. Schematic representation of SMA dispersant as an additive adsorbed in the ceria surface on nitride and oxide, respectively.
Figure 4. Schematic representation of SMA dispersant as an additive adsorbed in the ceria surface on nitride and oxide, respectively.
Polymers 16 00844 g004
Figure 5. SEM images of cerium carbonate (a) and cerium oxide after calcined at 800 °C (b) (×1000).
Figure 5. SEM images of cerium carbonate (a) and cerium oxide after calcined at 800 °C (b) (×1000).
Polymers 16 00844 g005
Figure 6. Chemical structure of the dispersant polymer of styrene acrylate series (SAA) (a), the styrene–maleic series (SMA) (b), and the synthetic procedure of the SMA dispersant polymer (c).
Figure 6. Chemical structure of the dispersant polymer of styrene acrylate series (SAA) (a), the styrene–maleic series (SMA) (b), and the synthetic procedure of the SMA dispersant polymer (c).
Polymers 16 00844 g006
Figure 7. Stability test measured at 60 °C for 35 days after the addition of SMA-1000 dispersion; pH (a), conductivity (b), viscosity (c), and hydrodynamic diameter by DLS (d).
Figure 7. Stability test measured at 60 °C for 35 days after the addition of SMA-1000 dispersion; pH (a), conductivity (b), viscosity (c), and hydrodynamic diameter by DLS (d).
Polymers 16 00844 g007
Figure 8. DLS results for stability according to 3 types of defoaming polymers with various concentrations at 60 °C for 10 days: Depol (a), BYK (b), and G-336 (c).
Figure 8. DLS results for stability according to 3 types of defoaming polymers with various concentrations at 60 °C for 10 days: Depol (a), BYK (b), and G-336 (c).
Polymers 16 00844 g008
Figure 9. Particle size distribution (PSD) based on volume (a) and number (b) of ceria slurry by DLS analysis.
Figure 9. Particle size distribution (PSD) based on volume (a) and number (b) of ceria slurry by DLS analysis.
Polymers 16 00844 g009
Figure 10. The AF4 (Asymmetrical Flow Field-Flow Fractionation) fractograms of ceria slurry obtained under varying conditions, including a base condition and with the addition of three different types of defoaming polymers. The fractograms (a) and size distribution (b) were measured as part of the analysis. Specific parameters for the AF4 setup included a channel flow rate of 0.6 mL/min and a cross-flow rate of 0.5 mL/min, and the carrier liquid was composed of water containing 0.1% FL-70 and 0.01% NaN3.
Figure 10. The AF4 (Asymmetrical Flow Field-Flow Fractionation) fractograms of ceria slurry obtained under varying conditions, including a base condition and with the addition of three different types of defoaming polymers. The fractograms (a) and size distribution (b) were measured as part of the analysis. Specific parameters for the AF4 setup included a channel flow rate of 0.6 mL/min and a cross-flow rate of 0.5 mL/min, and the carrier liquid was composed of water containing 0.1% FL-70 and 0.01% NaN3.
Polymers 16 00844 g010
Figure 11. SEM image of ceria nanoparticles according to 3 types of defoaming polymers (×100,000): Base (a), Depol (b), BYK (c), and G-336 (d).
Figure 11. SEM image of ceria nanoparticles according to 3 types of defoaming polymers (×100,000): Base (a), Depol (b), BYK (c), and G-336 (d).
Polymers 16 00844 g011
Figure 12. Photograph of ceria slurry before (a) and after the addition of the G-336 defoaming polymer (b).
Figure 12. Photograph of ceria slurry before (a) and after the addition of the G-336 defoaming polymer (b).
Polymers 16 00844 g012
Table 1. Weight and atom concentration of each element (relative %) obtained from SEM-EDX results for cerium carbonate and cerium oxide after calcined at 800 °C.
Table 1. Weight and atom concentration of each element (relative %) obtained from SEM-EDX results for cerium carbonate and cerium oxide after calcined at 800 °C.
ElementCerium CarbonateCerium Oxide
wt%Atomic %wt%Atomic %
C10.825.25.4620.2
O36.864.320.256.2
Ce52.410.574.323.5
Table 2. The pH and molecular weight (MW) of the synthesized SMA dispersant polymer.
Table 2. The pH and molecular weight (MW) of the synthesized SMA dispersant polymer.
NamepHMw
SMA 10008.078.60 × 104
Table 3. The storage stability of the ceria slurry according to the SMA-1000 concentration.
Table 3. The storage stability of the ceria slurry according to the SMA-1000 concentration.
Conc.
(%)
pHConductivity
(ms/cm)
Viscosity
(cP)
DLS
(nm)
4.09.43 ± 0.0300231 ± 30.51.34 ± 0.0300216 ± 22.3
4.59.45 ± 0.0400248 ± 35.61.34 ± 0.0200224 ± 27.6
5.09.46 ± 0.0400262 ± 38.21.35 ± 0.0200232 ± 18.3
All evaluations were measured three times, and the average value was determined.
Table 4. The storage stability of the ceria slurry according to Depol, BYK, G-336 defoaming polymers at various concentrations.
Table 4. The storage stability of the ceria slurry according to Depol, BYK, G-336 defoaming polymers at various concentrations.
Defoaming PolymerConc
(%)
pHConductivity
(μs/cm)
Viscosity (cP)DLS
(nm)
Depol0.0109.68 ± 0.0500205 ± 10.91.33 ± 0.0100183 ± 9.16
0.0259.68 ± 0.0600208 ± 13.01.32 ± 0.0100183 ± 9.82
0.0509.67 ± 0.0500209 ± 14.91.32 ± 0.0100181 ± 4.28
BYK0.0109.68 ± 0.0500206 ± 11.11.32 ± 0.0100183 ± 7.77
0.0259.68 ± 0.0600209 ± 11.21.31 ± 0.0100184 ± 7.40
0.0509.68 ± 0.0600212 ± 15.01.31 ± 0.0100197 ± 4.80
G-3360.0109.64 ± 0.0200218 ± 9.071.32 ± 0.0100179 ± 6.43
0.0259.65 ± 0.0300219 ± 8.931.32 ± 0.0100179 ± 4.75
0.0509.66 ± 0.0300245 ± 11.61.32 ± 0.0100180 ± 4.32
All evaluations were measured three times, and the average value was determined.
Table 5. Particle size distribution (PSD) of the ceria slurry by DLS analysis.
Table 5. Particle size distribution (PSD) of the ceria slurry by DLS analysis.
Size Distribution
(nm)
BaseDepolBYKG-336
Volume117 ± 60.8128 ± 57.191.4 ± 45.6103 ± 47.9
Number83.2 ± 23.292.6 ± 26.064.8 ± 18.574.3 ± 21.1
All evaluations were measured three times, and the average value was determined.
Table 6. Particle size analysis (PSD) of the ceria slurry by AF4 separation.
Table 6. Particle size analysis (PSD) of the ceria slurry by AF4 separation.
Size Distribution
(nm)
BaseDepolBYKG-336
Main72.2 ± 1.3462.6 ± 3.2073.3 ± 1.3982.0 ± 7.69
Minor283 ± 3.01293 ± 1.52N.DN.D
All evaluations were measured three times, and the average value was determined. N.D: not detected.
Table 7. CMP efficiency results of ceria slurry applied with 3 types of defoaming polymers on the PETEOS and nitride surface.
Table 7. CMP efficiency results of ceria slurry applied with 3 types of defoaming polymers on the PETEOS and nitride surface.
Polishing Rate
(Å/min)
BaseDepolBYKG-336
PETEOS3493465055585417
Nitride60758368
Selection ratio59626780
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Hwang, S.; Kim, W. Characterization of Ceria Nanoparticles as Abrasives Applied with Defoaming Polymers for CMP (Chemical Mechanical Polishing) Applications. Polymers 2024, 16, 844. https://doi.org/10.3390/polym16060844

AMA Style

Hwang S, Kim W. Characterization of Ceria Nanoparticles as Abrasives Applied with Defoaming Polymers for CMP (Chemical Mechanical Polishing) Applications. Polymers. 2024; 16(6):844. https://doi.org/10.3390/polym16060844

Chicago/Turabian Style

Hwang, Sohee, and Woonjung Kim. 2024. "Characterization of Ceria Nanoparticles as Abrasives Applied with Defoaming Polymers for CMP (Chemical Mechanical Polishing) Applications" Polymers 16, no. 6: 844. https://doi.org/10.3390/polym16060844

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop