Next Article in Journal
Experimental Investigation of Reflectarray Antennas for High-Power Microwave Applications
Next Article in Special Issue
Research on the Reliability of Advanced Packaging under Multi-Field Coupling: A Review
Previous Article in Journal
Enhancing Manufacturability of SU-8 Piezoelectric Composite Films for Microsystem Applications
Previous Article in Special Issue
Degradation Measurement and Modelling under Ageing in a 16 nm FinFET FPGA
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Modern Trends in Microelectronics Packaging Reliability Testing

by
Emmanuel Bender
1,2,*,
Joseph B. Bernstein
2 and
Duane S. Boning
1
1
Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, MA 02142, USA
2
Electrical and Electronics Engineering, Ariel University, Ariel 40700, Israel
*
Author to whom correspondence should be addressed.
Micromachines 2024, 15(3), 398; https://doi.org/10.3390/mi15030398
Submission received: 31 January 2024 / Revised: 28 February 2024 / Accepted: 8 March 2024 / Published: 15 March 2024
(This article belongs to the Special Issue Advances in Microelectronics Reliability)

Abstract

:
In this review, recent trends in microelectronics packaging reliability are summarized. We review the technology from early packaging concepts, including wire bond and BGA, to advanced techniques used in HI schemes such as 3D stacking, interposers, fan-out packaging, and more recently developed silicon interconnect fabric integration. This review includes approaches for both design modification studies and packaged device validation. Methods are explored for compatibility in new complex packaging assemblies. Suggestions are proposed for optimizations of the testing practices to account for the challenges anticipated in upcoming HI packaging schemes.

1. Introduction

The ever-increasing demand for more advanced technologies is driving the shrinking of the critical dimensions of integrated circuit (IC) devices. The packaging assemblies of IC technologies must progress, in turn, to accommodate technological progress. Improving package density provides cost-effective performance and capacity improvements. Trends for package miniaturization started in the 1990s, going from wire bonds to solder joints for better performance and I/O pin density. Some steps in their development include PQFP (plastic quad flat pack), SOIC (small-outline integrated circuit), PBGA (plastic ball grid array), and fcCSP (flip-chip–chip-scale packaging) to keep pace with advancements for single chip packages. Performance and throughput were significantly improved in these earlier modified solutions [1,2,3,4].
Lately, solutions for high-density heterogeneous integration (HI), coined 2.5D/3D IC packaging, have been developed. The objective of advanced packaging is IC integration with multiple chips on a package substrate [5]. These orientations often include an intermediate level between the IC and the PCB, called a silicon interposer, to allow the integration of several chips into a single IC structure. This concept has expanded into a large variety of different orientations. Fan-out wafer-level packaging (FOWLP) is one solution. FOWLP is bonded directly onto a circuit board without the need for through-silicon vias (TSVs). A step beyond FOWLP is the fan-out panel-level packaging (FOPLP) solution. FOPLP is an extension of wafer-level fan-out that has a larger substrate size of about 600 mm compared to that of FOWLP, which is about 300 mm. This increases the throughput and lowers the cost-per-device margin compared with FOWLP [6].
The trend of high-density packaging poses new package performance and reliability issues. The reliability of advanced microelectronic packaging has emerged as the top priority across multiple growth markets for semiconductors, including automotive, industrial, and cloud-based computing. The breadth of new device types, including microelectronic logic, memory, power electronics, MEMS, RF silicon-photonics, fluidics, and nanotechnological devices, poses unforeseen challenges to reliability validation engineers [7,8,9]. The reliability of mission-critical packages, some also lifesaving, is of vital importance.
One distinct challenge in performing effective reliability studies on packages is the involvement of an abundance of different materials. Characterization of the assemblies must be preceded by cautious scrutiny of the chemical makeup of the materials and achieving familiarity with the interaction between the different materials. This allows proper planning of what test cases are most effective in causing device failures. Without the preparation needed, testing and simulation results can lead to misleading conclusions. One proposal for significant improvements in packaging reliability testing, initiated by “irel40”, is increased data acquisition in in situ tests [10]. Multiple PCBs are monitored in unison to amass more than 140,000 data points in 1000 temperature cycles. A similar concept can be adopted for the inspection of numerous elements inside advanced packages like micro-bumps or interconnects.
The prime objective of this study is to reveal the most effective testing methods to use for future advanced packaging devices. To achieve this goal, we assess the benefits of testing methods used on conventional packaging devices to perform reliability predictions on the devices, as we previously performed extensively on various electron assemblies [11]. Subsequently, a projection of the uncharted challenges posed by advanced structures is described.
Testing methods are developed to facilitate the exposure of dominant failure mechanisms. In product design, the root causes of failures, based on the physics-of-failure approach, are determined to make an early assessment of the assemblies’ reliability, and improve the design and/or change the materials [12]. This perspective leads to the development of compact models and use of finite element analysis (FEA) studies to reveal the physical composition of the devices. In the final stages of product development, approaches focus on predictive reliability, applied to predict the failure rate or the time to failure in the field, by performing accelerated stress tests.
Predictive reliability is only effective if it is performed on the foundation of established failure physics. Without sound knowledge of the physics of the devices, the interpretation of the tests results will lead to inaccurate conclusions. For instance, a single stress test will likely accelerate more than one type of failure mechanism. A temperature cycling test will accelerate cracking failures, interfacial delamination failures, as well as fatigue failures. One will not succeed in finding the dominant failure mechanism in used conditions by performing a thermal cycling test on a given device without understanding the underlying physical processes involved. This is because the conditions leading to failure are different for disparate failure mechanisms. It boils down to understanding the root cause of the failures and connecting them to the failure data through a physics-of-failure relation. Figure 1 shows a diagram of the pyramid model for the development of prediction tests based on the underpinnings needed to produce useful results.
The figure above states the guidelines for a full cycle of testing of a family of device packages. The first stage (bottom level) is to identify the vulnerabilities or failure mechanisms of the materials of which the package is composed. It is especially vital to characterize alterations due to age in the bond interfaces. This information is capsulized into physical models for the individual mechanisms. The next level is the formulation of a model that incorporates interaction of all the failure mechanisms. Linearity is compulsory to allow modularity in the composition of the model. The next stage is the careful selection of test cases based on multiple stress modes to age the packages, apt for activating the different failure mechanisms. The failure data are aggregated into a comprehensive reliability profile for the different stress inputs in the final stage. In HI assemblies, this methodology is essential, and aging data will include the complexity of new phenomena like electrical crosswalk and RF radiation leakage.
There are two overall investigations in this paper. The first is a summary of the reliability concerns and testing methods for standard packaging assemblies. The second is a perspective on advanced packaging reliability issues and proposed strategies on how to characterize their risks. We first lay down some basic models and accelerating factors in Section 2. Section 3 covers many of the reliability studies of conventional packaging assemblies, starting with wire bond packages and accenting the issues with BGA-based packages. Section 4 offers a brief description of methods for packaging mechanism separation. Section 5 elaborates on some reliability studies on advanced packages focusing on future testing possibilities. Our conclusions and final comments are given in Section 6.

2. Failure Rate, Time to Failure, and Acceleration Factor Modeling

This section includes a summary of some fundamental reliability prediction terms. The unit of measurement for reliability test results is the failure unit (FIT). FIT is a rate for the number of expected device failures per one-billion-part hours. A system reliability model provides a prediction of the expected mean time between failures (MTBF) for an entire system as the sum of the FIT rates for every component. The failure rate of a single element in a system is defined as
F R = N u m b e r o f F a i l s T o t a l U n i t H o u r s
The failure rate for microelectronic devices can be approximated as the constant time for the period of the product’s useful lifetime. The probability of reliability will follow a first-order Poisson exponential distribution. In the past, ample attention was given to characterizing the decreasing failure rate (DFR) stage of product life. Modern manufacturing processes are very successful at illuminating initial failures by implementing advanced screening and failure mechanism engineering practices in production. The failure rate of the total package or system is expressed as
F R t o t a l = F R t e m p e r a t u r e + F R h u m i d i t y + F R v o l t a g e + F R c y c l i c +
When more than one mechanism exists in a system (almost always the case), the relative acceleration of each mechanism must be characterized under the applied conditions and identified by its unique acceleration factor (AF). FIT values will then be calculated separately for each mechanism at given stress factors. This is possible by isolating different failure mechanisms by applying stress conditions that accent single failure mechanisms. To estimate the FR, the AF needs to be accurately modeled and validated.
Choosing an appropriate value for the AF can be achieved based on the physics of the dominant failure mechanisms occurring in the field. Some of the AF models of the individual stress factors are listed in Table 1.
The essence of failure prediction is the defining of the probability of failure over time. Therefore, failure prediction focuses on the statistical distribution of failures rather than the physical or chemical phenomena that cause the failures. In a bathtub curve, the flat middle section is known as the “useful life” region. There is a relatively constant failure rate (CFR) created by random failures. Over a given period, the occurrence of a failure is unpredictable and independent of prior use.
On the other hand, wear-out failures are often considered life-limiting physical or chemical processes inherently related to the design of the part and its manner of application. Wear-out failures, also called inherent mechanisms, generally arise from the interaction of design-related factors and environmental parameters, such as temperature and humidity, and thermal and mechanical cycling loads.
A failure mode is the recognizable electrical symposium by which a failure is observed. A failure mechanism is the specific physical, chemical, metallurgical, environmental phenomena or processes that cause device degradation or malfunction. Failure modes and mechanisms are the end results of the degenerative processes initiated by interactions of the designed and manufactured configuration with the operational and environmental stresses imposed during its period of operation.
Two different stress models are used in calculating the reliability and the time to failure of electronic packages. One is the power law model and the other is the Arrhenius model. Sometimes, these two models are combined to predict the life of components in field application reliability studies. A summary of many common failure prediction models is detailed in Table 2.

3. Reliability Analysis and Life Prediction of Packaging Materials and Assemblies

3.1. Wire Bond Package Reliability Analysis

Although wire bond packages are mostly replaced with more modern assemblies, many parts still have wire bond packages for some of their ICs. The failure mechanisms observed include bond breakage and delamination. At times, wires break at the heel of wedge bonds due to their reduced cross-sectional area.
In power cycling experiments conducted by Boettge [13], wire bond assemblies failed after approximately 20,000 cycles. The dominant failure mode was bonding wire lift-off. Failure analysis studies revealed residues of bond wire material detected on the surface of the chip metallization. This indicates crack formation and propagation within the aluminum (Al) material of the bonding wire. Cross-sectional analysis of the remaining bond wire contacts also supports this assumption. The crack formation did not occur directly at the interface between the bond wire and chip metallization, but in a shallow layer of the bond wire. Likewise, wires also fractured at the neck of a wire bond. This is different from BGA failures where the resulting fractures are either from tensile or shear forces induced by thermal stress or the flow of the encapsulant during molding.
At times, wire fatigue failures result from interface delamination between the molding compound and the die [14]. One influencing factor of failures seen in Au/Al bonds is the degradation of bonding strength and electrical resistance increase. The increase in resistivity is caused by intermetallic compound (IMC) formation at the Au/Al bonds as well as diffusion at the interface of the Au wire and Ag plating on lead frames. The factors reducing the IMC growth rate are not always found to have a dominant impact on bond reliability; instead, ball bond reliability is dependent on bond parameters and bond pad bendability [15]. However, the presence of the compounds will cause the interface to degrade, often triggering a catastrophic failure.
Khan et al. [16] reported the presence of halogenated organic residues causing increased Au─Al wire bond failures through the degradation of the intermetallic. Results of these failures show an activation energy calculated between 0.7 and 1.0 eV. The EA variation is due to the use of various resins.
Park et al. [17] studied the degradation of the Au─Al bonding under high thermal storage (HTS) reliability testing while using different molding compounds. The lifetime of Au─Al bonding encapsulated by bi-phenyl (BP) epoxy resin is much longer than that of o-cresol novolac (OCN) epoxy resin, and its failure is attributed to the appearance of Sb at the interfaces and bromine (Br) originating from the epoxy mode compounds (EMCs).
Other examples of fatigue failures include wire bond breakage and wire bond lift-off. The wires commonly break at the heel region of the wedge bonds due to a reduced cross-sectional area in that place in the wires. Another failure observed is the disconnection of the heel of the ball bond due to excessive flexing during loop formation and thermal fluctuations during operation.
Fatigue failures due to crack propagation also occur at the wire heel or the neck of the wire bond, leading to an open circuit. These fractures result from either tensile or shear forces induced by thermal stress or the flow of the encapsulant during molding. Occasionally, wire fatigue failures are formed due to interface delamination between the molding compound and the die [14].
One influencing factor of failures seen in Au/Al bonds is the degradation of bonding strength, which causes a resistance increase. This causes IMC formation at the Au/Al bonds as well as diffusion at the interface of the Au wire and Ag plating on lead frames. Regardless of the observations mentioned above, reducing the IMC growth rate does not always have a substantial impact on bond reliability. The dominant factors are the bond parameters and bond strength [15].
To summarize, the key failure mechanisms observed in wire bond assemblies are wire breakage or bond fracture due to stress loads in vulnerable interfaces of the wires. A distinct contrast between wire bond failures and BGA failures, detailed in the next section, is the sensitivity to thermal expansion mismatch of the devices. In BGA assemblies, there are more problems because the BGAs are denser than the wire bond assemblies. Nevertheless, the formation of IMC has proven to have a significant impact on the wire bond interface failures. A wire bond fracture frequently occurs at low temperature cycles, as well.

3.2. BGA Package Reliability

3.2.1. Thermal and Thermomechanical Stress Testing on Solder Joints

Flip-chip packaging assemblies include a wide range of vulnerabilities. Figure 2 summarizes common failure modes in flip-chip packages. The effects of these failures are generated using different stress modes, as will be detailed in the following sections.
Zhang et al. shows the consequences of thermal cycling strain on plastic BGA (PBGA) using both an FEA model and Moire fringe pattern analysis techniques in parallel [18]. Bhate et al. presented different techniques for revealing creep and monolithic tests due to shear strain in the solder alloys Sn3.8Ag0.7Cu and Sn1.0Ag0.5Cu using a double-lap shear setup. Their study is reinforced with an FEA inspection of the solder balls with the intention of capturing the change in geometry due to strain [19]. Kumar et al. performed an additional study of lead-free SJ alloys performed on Sn3.8Ag0.7Cu and Sn3.0Ag0.5Cu [20]. Also in this study, the test specimen consisted of four solder joints at the extreme corners of two identical alumina ceramic substrates. The results show that the aging effects are more pronounced in Sn3.8Ag0.7Cu than Sn3.0Ag0.5Cu. Thermomechanical cycling (TMC) was used to accelerate microstructural coarsening in SnAgCu (SAC) solders in [21]. Two coefficients are revealed for the results: a secondary creep derived from a power law and a primary creep from an exponential law.
Since electronic devices consist of a large range of different materials, such as metal, composites, polymers, and ceramics, the thermal expansion of the materials is prone to causing various forms of damage. A prime example is sheer strain in solder joints. Solder joints are subjected to mechanical stresses and strains due to mismatches in the coefficients of thermal expansion (CTE) between the different materials. Components in devices are made up of a variety of materials which have different coefficients of thermal expansion [22]. When a device is switched on and off, it experiences thermal stress, leading to shear stresses, as is demonstrated in Figure 3 between the top and bottom diagrams. Solder joint fractures are categorized according to their modes of failure. Darveaux et al. and Zhao et al. identified three major modes of solder joint failures for (BGAs) [23,24]. The lower solder joint diagram in Figure 3 illustrates the modes as follows:
(a)
Pad matrix failure. In a study by Henshall et al., pad matrix failures are seen to occur across the matrix layer of the fiber–epoxy polymer composite of the PCB [25]. It is commonly observed as “cratering” on the side of the PCB.
(b)
Bulk solder failure: Bulk solder failure is the fracturing of the solder sphere. Darveaux et al. showed that this mode is more prone to occuring due to vibration failures [23]. The failure surface tends to be rougher than those seen for the UBM-IMC failures.
(c)
UBM–IMC failure: Failure cracks usually occur in intermetallic compounds (IMC), which are usually more brittle than bulk solder (Frear et al. 1999), or at the interface with the substrate [26]. They can be identified as a smooth surface on the die substrate or a characteristic ring step and smooth surface at the top of the solder bump.

3.2.2. High-Voltage and -Current-Stressed Solder Joint Studies

Ouyang et al. [27] showed electromigration (EM) wear in 37Pb63Sn flip-chip solder joints which were subjected to constant DC voltage and thermal stress. DUTs were heated in an oven at an ambient temperature of 125 C. The current density at the contact opening due to voltage stress was 1.42 × 104 A/cm2.
A notable study showing the effects of electromigration on solder joints from relative current density was presented by P. Dandu et al. [28]. FEA and mathematical models were utilized to quantify the effects of current-crowding and joule-heating the package. The study proposed a modified design where the current enters the bump through a copper trace before being spread through the bulk of the bump. The new design showed a decrease in current density of 42%. In an additional study, an FEA model was used to show the current density singularity in the electromigration of solder bumps [29]. The analyzed structure was simplified to a homogeneous wedge with an arbitrary apex angle: 2 π θ 0 . In the results, the current density singularity was observed only at acute angles θ 0 < 90 ° .
High-current-stress-induced failures are inspected in µBGAs set to a daisy chain configuration in M. Alam et al. [30]. Due to the low melting point of µBGA, joule heating is an acute concern as well as EM. In [31], H. Gan et al. performed an extensive study on EM in solder joints and solder lines. The study reveals an MTTF of electromigration on two structures: a flip-chip solder/under-bump metallization (UBM) structure and a 3D multilevel aluminum or copper via/wiring structure. The results differ for the structures due to the variation in geometry. Based on the Black equation listed below:
M T T F = A j n e x p E A k B T
the values 1.8 for n and 0.8 eV/atom for EA are obtained.

3.2.3. Impact and Vibration Stress Studies on Solder Joints

The effects of impact and stress on solder joints can vary significantly depending on the configuration of the PCB. X. J. Fan at el. investigated the influence of the placement of major components corresponding to the placement of secondary components under different drop impact orientations [32]. The results show more severe solder joint failure in off-centered mountings compared to centered assemblies. The consequence of horizonal drops is more acute than that of vertical drops.
The stress distribution on solder joints in aging tests varies with distance from the center of the die. The results from individual solder joints will have a distribution affected by the unequal stress load. This aspect of the results is deceiving when trying to decipher the failure distribution. To generate accurate results, the stress load distribution must be revealed using FEA studies, which compensate for the test results. In [33], an FEA study is performed on SAC SJ pins to find the stress distribution applied to a BGA under vibration stress. The results show the relation between different pins on the edges of the BGA as opposed to those in the center of the BGA.
One notable FEA study on SAC405 solders in a BGA assembly [34] shows that during the solder reflow cooling stage, solder/IMC interface damage was initiated at the most critical solder joint, located underneath the corner of the SI die. However, the interface material point remained intact.

3.2.4. Life-Test Predictions of Solder Joint-Based Configurations

Life-test predictions are the qualification standard for packaged device reliability validation. Table 3 details common life-test prediction types used in industry. These tests, including temperature, pressure, and humidity stress, are administered at accelerated conditions to a large number of packaged devices. In most cases, the tests are expected to be completed without any device failures. There are two points that shed doubt on the ability to accurately qualify the parts based on these tests alone. Firstly, they lack sufficient statistical data. The results are pass/fail. Companies are expected to present zero failures in their results [35,36] to avoid fears that the parts will be unstable in the field. When there are no failures, no statistics are received. Secondly, the tests assume a single acceleration factor. This negates known physics-of-failure models which show that there are multiple failure modes in a device at any given time [37]. Such a method will not locate the dominant failure mechanism in a device. The pass/fail characteristic of the tests is less optimal for generating meaningful data to estimate products lifetimes. Reliability-testing methods usually refer to the JEDEC or Mil-STD-883 standards, as shown in Table 4.
At this point, we will elaborate on the reliability study results of tests using the testing types mentioned in Table 3. A summary of the failure modes corresponding to the accelerated stress tests is presented in Table 5 below. The table also includes descriptions of the effects of the different failures on the devices.
Many details in TMC tests must be understood to allow proper deciphering of the results. Temperature cycling conditions cause complex stress and strain effects on solder joints due to the CTE mismatch between the solder and copper Cu pads/leads of the packaging materials. The consequence is the formulation of micro-cracks on the bond interfaces of the solder joints, leading to a decrease in conductivity and ultimately shorts. The rate of thermal fatigue on solder joints is strongly influenced by the physical parameters of the materials and the BGA configuration and manufacturing.
A study focusing on the formulation of micro-cracks in solder joints was performed by Tu et al. [60]. It revealed that many cracks formed during thermal cycling testing that originated at the intermetallic compound (IMC) η-phase/solder joint interface. The inspection showed that the rate of thickness of the intermetallic layer in the joint directly corresponded to the shortening of the fatigue lifetime of the assemblies. The lifetime of the assemblies was predicted by monitoring the resistance growth inside solder joints during TMC stress. The study concluded that the presence of an IMC causes cracks and affects the fatigue lifetime of solder joints.
Solder joint reliability (SJR) can be improved by using copper posts and increasing the solder tub depth according to Lin et al. [80]. The study concludes that the Cu post diameter is a critical parameter to enhance solder fatigue life. A study by Liu et al. [81] tracks the solder joint fatigue life of ball grid array (BGA) packages under a high cycle vibration load. The results show a consistent trend of crack development with a primary crack originating at the inner corner of the component side, and secondary cracking at the outer corner of the joint. The delamination between the solder mask and solder joint shows clear evidence of the primary crack. No correlation is observed between the crack growth rate compared to the frequency variations of the test.
Noctor et al. [82] evaluated solder attachment reliability for thin small-outline packages (TSOP) under temperature cycling. Delamination of the TSOP sides was observed as well as individual solder joint cracks. The thermal expansion mismatch between the package and the PCBs was the chief cause for these failures. For any particular components, the predicted failure probability depended on the assembly parameters (solder joint dimensions, solder alloy, board CTE, size, and thickness), the intended field environment, and the intended product design life. Accelerated thermal cycling (ATC) tests and predicative modeling showed that TSOP solder joint life during testing was about five times longer with copper Cu than with alloy-42 lead frames.
Jeon et al. [83] studied the relationship between SJR and the properties of a pad surface finish, such as ENIG and OSP. The IMC thickness could be controlled by the thickness of an electroless Cu layer. Amagai [84] demonstrated that a higher CTE and elastic modulus die-attach film can increase the life of solder joints.
With more components classified for portable applications exposed to shock and vibration environments, dynamic loads (often high-cycle-fatigue loads) have significant effects on solder joint fatigue life. The failure mode is observed as cracks on the solder joints as well. Wang et al. [62] studied the vibration fatigue failures seen on solder joints. Fatigue failure was related to the devices’ location in the PCB as well as the bump location on the package. Often, cracks induced by vibration fatigue were created in metal compound layers or solder materials nearby. It was also observed that cracks were first observed in the bottom round-angle area of the joint, and then, appeared at the top of the joint throughout the progress of the vibration. The solder joint was in a failure condition due to the full cracks at the top of the solder joint. The solder joints’ fatigue was also connected with the mass of the chips, the stiffness of the chips, and the shape and number of solder joints.

3.2.5. Device Failures in the Field

Gauging the success of reliability testing efforts can take place by assessing field experience. Figure 4 depicts a relative study on field failures. This analysis will contribute to future testing programs to adjust testing to mitigate failures of this kind.

3.2.6. Failure Mechanism Coverage of Different Aging Tests

Failure mechanism observation will be different depending on the selection of the test conditions. Figure 4 shows the breakdown of failure mechanism observation found in packaged devices exposed to two different tests. The first group was subjected to temperature cycling with a thermal swing of −65 °C to 150 °C. The dominant failure mechanism observed was bond failure, followed by die cracks. The thermal expansion offset of the die compared to the PCB, as demonstrated in Figure 3 above, is the source bond failures and die cracks. The second set of devices were subjected to HAST stress conditions of a 130 °C ambient temperature and 85% relative humidity (RH). As expected, the great majority of failures observed were due to moisture penetration [85].
It is also true that different testing types can accelerate the same failure mechanisms. With that in mind, one must take care to make scrupulous planning regarding test suites to choose relevant test cases to magnify all major failure mechanisms. The level of stress is also crucial. For instance, HAST tests under conditions of 130 °C/85%RH caused many failures, as is demonstrated in Figure 5, but HAST tests with conditions of 85 °C/85%RH resulted in almost no damage to the samples [86].

3.2.7. Aging and Prognostics Testing of Solder Joints

Valuable knowledge about packaging longevity is acquired from the aging and prognostics monitoring of manufactured devices. The disadvantage of validation tests with pass/fail criteria, mentioned in the previous section, include the lack of pre-emptive failure information. A solution for this issue is testing processes that monitor the characteristics of failure modes preceding the failures. Gershman et al. studied crack propagation quad flat no 44 lead (QFN44) packs using the nondestructive quantitative analysis of crack propagation in solder joints (NDQC) technique [87]. Their study shows that the gradual progression of cracks on the packages can be characterized early in their formation. In [88], a crack propagation study was conducted with an analytical model and an FEA study in early failure prediction. An accurate fit was received between the analytical model and the FEA model. The results of the two previous studies are formulated into a health-monitoring technique in [89].
An additional solution for early failure discovery is proposed by Hofmeister et al., coined Ball Grid Array (BGA) Solder Joint Intermittency Detection: SJ BIST™ [90]. This method is intended for both the fault coverage and health management of solder joints in FPGA boards. SJ BIST detects high-resistance faults in the solder joint networks of operational FPGAs.

4. Methods for Separation of Failure Mechanisms in Packaging Assemblies

This section details methods dedicated to revealing and profiling multiple failure mechanisms reacting simultaneously in packaging assemblies. Failure data commonly contain a mixture of different failure mechanisms. This is the product of several different failure mechanisms being accelerated by the same stress conditions. For example, stress voiding and creep will result in excess expansion and contraction of the materials, which are accelerated with temperature cycling. The characteristics of the different FMs can only be realized after separating them from resulting failure distributions. The distributions will have discrepancies due to the mixing of the mechanisms. The distributions are deconvolved to reveal the distributions for the separate failure mechanisms using competing risk models, as detailed in the literature [91,92]. U. Chakraborty et al. [93] used differential evolution (DE) and the L-BFGS-B (limited-memory Broyden–Fletcher–Goldfarb–Shanno with the box constraint) method to separate mechanisms based on completing risk models on simulated electromigration and stress voiding data. The results confirm that this approach is highly precise in parameter identification from lifetime data at different temperatures.

5. Latest Reliability Testing Studies on Advanced Package Assemblies

5.1. Outstanding Reliability Concerns in Advanced Packages

Reliability prediction in advanced packages differs from that in conventional packages both in terms of complexity and with regard to the combination of a wide spectrum of diverse elements. For this reason, modern package development is referred to as heterogeneous integration (HI). Hybrid bonds in advanced packages are created using new processes to allow highly dense interconnect fabrication. The consequences for reliability are numerous [94]. One major risk in new packages is their increased dimensions and stacked layers. This results in increased delamination and warping problems [95]. One thread of HI is aimed at the development of system-in-package (SiP) devices. SiP technology has been accompanied by many reliability issues. Due to the augmentation of density and power, thermal management issues become a crisis [8]. The number of interconnects involved in the new packages can be higher than in previous packages by two orders of magnitude [96]. Along with concerns of bonding failures, wafer-to-wafer alignment challenges in 3D integration have yet to be fully resolved [97]. This problem is magnified due to thermal misalignment with time [98,99,100,101].
The reliability risk of packages due to misalignment must be carefully evaluated. In Chip-on-Wafer-on-Substrate (CoWoS) and other technologies, micro-bumps (µBumps) are used for interconnecting the chiplets. The Silicon Interconnect Fabric (Si-IF) process is used to fabricate the chiplets with µBumps. In this process, heterogeneous components (chips, passives, etc.) are mounted on Si-IF at 2–10 µ interconnect pitch constraints (very dense) [102]. The control of µBump coplanarity is a reliability risk due to increased chip size, non-uniform µBump layout, and higher µBump density [103]. Figure 6 displays a model of a CoWoS architecture.
A distinct family of reliability issues resides in the implementation of thin wafers in advanced packages [104]. Three-dimensional IC integration is enabled due to wafer thinning. Wafer thicknesses are approaching 10µ [105]. This results in additional mechanical stress and strain to the wafer. Some of the failure risks include wafer warpage, interconnect/Si cracking and delamination, and deterioration of the device characteristics.
As electronic systems in automobiles and airplanes, etc., become more complex, the use of advanced packages is more rampant. As a result, their technologies must be capable of enduring harsh environments. With autonomous control, the operation hours can be significantly increased [106].
One of the distinct differences in material compounds in advanced packages is the transition to low-temperature solders (LTS) such as Sn–Bi and In-based solders. LTSs, having a lower melting temperature than their SAC counterparts, mitigate warpage during the board-attach reflow process [107]. Another concern in high reflow temperatures is delamination in hybrid bonds between different tiers [108]. The characteristics of LTS materials have reliability challenges like EM and thermomechanical failure [109,110].
Figure 6. Diagram of a CoWoS package. Inspired by [109].
Figure 6. Diagram of a CoWoS package. Inspired by [109].
Micromachines 15 00398 g006

5.2. Reliability Analysis and Testing Performed on Advanced Packages

Many reliability studies have been performed on advanced package assemblies. Table 6 gives some examples of recent tests and simulations. The testing practices show strong correlations with the procedures used in standard packages. The shortcomings of the standard testing practices today were mentioned earlier in this work. The lack of statistical detail in the results (being pass/fail criteria) are a cause of uncertainty of the tests’ ability to locate real reliability threats in packaging devices. In standard packages, qualification tests are more trusted because of the amount of test data assumed over the years and the relative simplicity of the packages. In advanced packages, both points are lacking.
Successful reliability methods for advanced packaging qualification should obtain a vivid picture of all the hazards in the system corresponding to their relative impacts. This is only possible by obtaining failure data of multiple elements in multiple stress modes. Multiple elements can be obtained by probing multiple signal lines in parallel and monitoring the degradation over time. Statistical distributions of the degradation/failure data can be used to determine early failures and mean failure times. A significant challenge in the process is the separation of multiple mechanisms in the data. Without mechanism separation, failure rates and times are impossible to decipher.
In parallel to this study, the authors are proceeding to validate a method for monitoring multiple pins in a BGA package. The pins will be probed to determine the degradation over time and failure. The results will be analyzed with mechanism separation methods to find the relative impact of the different mechanisms. Similarly, this methodology can be adopted and incorporated in advanced assemblies. More information about these methods will be provided in future studies.

6. Conclusions

We have summarized many common microelectronic packaging reliability testing trends. The testing methods assessed in this work originated in older packaging assemblies and have been adopted in more recent orientations. The objective of this study is to create a perspective of testing practices that have a high probability of success in advanced packaging schemes. This is with the understanding that advanced packages are far more complex and include a broader range of hybrid bonds. Our suggestions are as follows:
(1)
Failure mechanisms and testing methods of standard packaging schemes are considered acceptable qualification metrics for advanced packages based on most current studies.
(2)
Accurate reliability prediction in advanced packages will require testing methods more optimized than the standard methods used for conventional assemblies. The current testing standards propose product qualification based on accelerated tests in set stress conditions. The products pass the test if they endure the stress conditions after a given time.
(3)
Testing methods with single stress modes assume a single failure mechanism in the device. This assumption is observed to be inaccurate. Successful testing methods must succeed in revealing and separating multiple failure mechanisms.
(4)
Methods are in development for extraction and analysis of multiple data elements of standard and advanced packages. By deciphering the failure characteristics of the parts from the statistics of the results, accurate failure rates and failure times can be achieved. This will raise the bar for the reliability testing of advanced assemblies and complete systems.

Author Contributions

Conceptualization, J.B.B. and D.S.B.; methodology, J.B.B.; validation, D.S.B.; formal analysis, E.B.; investigation, E.B.; resources, D.S.B.; data curation, E.B.; writing—original draft preparation, E.B.; writing—review and editing, E.B.; visualization, D.S.B.; supervision, J.B.B.; project administration, D.S.B.; funding acquisition, J.B.B. and D.S.B. All authors have read and agreed to the published version of the manuscript.

Funding

This work was partially funded by the MISTI MIT Israel Lockheed Martin Seed Fund: P268001/221383.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Acknowledgments

Many thanks for the on-going support from the Ariel University Advanced Studies Research Group, Ariel, Israel. We wish to show our gratitude to the Microsystems Technology Laboratories (MTL) at the Research Laboratory of Electronics (RLE) at MIT for their generous support and innovative ideas used to make this work more prolific.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Qin, I.; Yauw, O.; Schulze, G.; Shah, A.; Chylak, B.; Wong, N. Advances in Wire Bonding Technology for 3D Die Stacking and Fan Out Wafer Level Package. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1309–1315. [Google Scholar]
  2. Howard, R. Packaging Reliability-How to Define and Measure It. IEEE Trans. Compon. Hybrids Manuf. Technol. 1982, 5, 454–462. [Google Scholar] [CrossRef]
  3. Lau, J. Chip on Board Technologies for Multichip Modules; Van Nostrand Reinhold: New York, NY, USA, 1994. [Google Scholar]
  4. Lau, J.; Pao, Y. Solder Joint Reliability of BGA, CSP, Flip Chip, and Fine Pitch SMT Assemblies; McGraw-Hill: New York, NY, USA, 1997. [Google Scholar]
  5. Lau, J.H. Semiconductor Advanced Packaging; Springer Publishers: Berlin/Heidelberg, Germany, 2021; ISBN 978-981-16-1375-3. [Google Scholar]
  6. Yoo, T. Advanced Chip Last Process Integration for Fan out WLP. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022. [Google Scholar]
  7. Qin, C.; Li, Y.; Mao, H. Effect of Different PBO-Based RDL Structures on Chip-Package Interaction Reliability of Wafer Level Package. IEEE Trans. Device Mater. Reliab. 2020, 20, 524–529. [Google Scholar] [CrossRef]
  8. Wang, H.; Ma, J.; Yang, Y.; Gong, M.; Wang, Q. A Review of System-in-Package Technologies: Application and Reliability of Advanced Packaging. Micromachines 2023, 14, 1149. [Google Scholar] [CrossRef]
  9. Zhou, H.; Zhang, Y.; Cao, J.; Su, C.; Li, C.; Chang, A.; An, B. Research Progress on Bonding Wire for Microelectronic Packaging. Micromachines 2023, 14, 432. [Google Scholar] [CrossRef]
  10. Universal Approach for Using a Stress Sensing System for Package Development and Reliability Testing (T-9). Intelligent Reliability 4.0, Newsletter M36, vol. 5, 2023. Available online: https://www.irel40.eu/media (accessed on 28 February 2024).
  11. Bernstein, J.B.; Bensoussan, A.; Bender, E. Reliability Prediction for Microelectronics, 1st ed.; Wiley Series in Quality & Reliability Engineering; John Wiley & Sons: Hoboken, NJ, USA, 2024; ISBN 978-1394210930. [Google Scholar]
  12. McCluskey, F.; Hakim, E.; Fink, J.; Fowler, A.; Pecht, M. Reliability Assessment of Electronic Components Exposed to Long-Term Non-Operating Conditions. IEEE Trans. Compon. Packag. Manuf. Technol.-Part A 1998, 21, 352–359. [Google Scholar] [CrossRef]
  13. Boettge, B.; Naumann, F.; Behrendt, S.; Scheibel, M.; Kaessner, S.; Klengel, S.; Petzold, M.; Nickel, K.; Hejtmann, G.; Miric, A.-Z.; et al. Material Characterization of Advanced Cement-Based Encapsulation Systems for Efficient Power Electronics with Increased Power Density. In Proceedings of the IEEE 68th Electronic Components and Technology Conference, San Diego, CA, USA, 29 May–1 June 2018; pp. 1258–1269. [Google Scholar]
  14. Uebbing, J. Mechanisms of Temperature Cycle Failure in Encapsulated Optoelectronic Devices. In Proceedings of the 19th International Reliability Physics Symposium, (IRPS), Las Vegas, NV, USA, 7–9 April 1981; pp. 149–156. [Google Scholar]
  15. Hund, T.; Plunkett, P. Improving Thermosonic Gold Ball Bond Reliability. IEEE Trans. Compon. Hybrids Manuf. Technol. 1985, 8, 446–456. [Google Scholar] [CrossRef]
  16. Khan, M.; Fatemi, H.; Romero, J.; Delenia, E. Effect of High Thermal Stability Mold Material on the Gold-Aluminum Bond Reliability in Epoxy Encapsulated VLSI Devices. In Proceedings of the International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 30 March–2 April 1998; pp. 40–49. [Google Scholar]
  17. Park, J.; Kim, B.S.; Cha, H.J.; Jo, Y.B.; Shin, S.C.; Kim, G.R.; Park, J.K.; Shin, M.Y.; Ouh, K.I.; Jeon, H.G. Interfacial Degradation Mechanism of Au-Al Bonding in Quad Flat Package. In Proceedings of the IEEE 42nd Annual International Reliability Physics Symposium, Phoenix, AZ, USA, 25–30 April 2004; pp. 569–570. [Google Scholar]
  18. Zhang, L.; Hunter, B.; Subbarayan, G.; Rose, D. The Accuracy of Structural Approximations Employed in Analysis of Area Array Packages. IEEE Trans. Compon. Packag. Technol. 1999, 22, 525–533. [Google Scholar] [CrossRef]
  19. Bhate, D.; Chan, D.; Subbarayan, G.; Chiu, T.; Gupta, V.; Edwards, D. Constitutive Behavior of Sn3.8Ag0.7Cu and Sn1.0Ag0.5Cu Alloys at Creep and Low Strain Rate Regimes. IEEE Trans. Compon. Packag. Technol. 2008, 31, 622–633. [Google Scholar] [CrossRef]
  20. Kumar, P.; Huang, Z.; Chavali, S.; Chan, D.; Dutta, I.; Subbarayan, G.; Gupta, V. Microstructurally Adaptive Model for Primary and Secondary Creep of Sn-Ag-Based Solders. IEEE Trans. Compon. Packag. Technol. 2012, 2, 256–265. [Google Scholar] [CrossRef]
  21. Mysore, K.; Subbarayan, G.; Gupta, V.; Zhang, R. Constitutive and Aging Behavior of Sn3.0Ag0.5Cu Solder Alloy. IEEE Trans. Compon. Packag. Technol. 2009, 32, 221–232. [Google Scholar] [CrossRef]
  22. Nee, A. Handbook of Manufacturing Engineering and Technology; Springer: Berlin/Heidelberg, Germany, 2015; ISBN 978-1-4471-4669-8. [Google Scholar]
  23. Darveaux, R.; Reichman, C.; Islam, N. Interface failure in lead free solder joints. In Proceedings of the 56th Electronic Components and Technology Conference ECTC, San Diego, CA, USA, 30 May–2 June 2006; p. 12. [Google Scholar]
  24. Zhao, X.; Caers, J.; de Vries, J.; Wong, E.; Rajoo, R. A component level test method for evaluating the resistance of Pb-free BGA solder joints to brittle fracture under shock impact. In Proceedings of the 57th Proceedings of Electronic Components and Technology Conference ECTC, Sparks, NV, USA, 29 May–1 June 2007; p. 1522. [Google Scholar]
  25. Henshall, G.; Healey, R.; Pandher, R.; Sweatman, K.; Howell, K.; Coyle, R.; Sack, T.; Snugovsky, P.; Tisdale, S.; Hua, F. iNEMI Pb-free alloy alternatives project report: State of the industry. In Proceedings of the Surface Mount Technology Association Conference, Orlando, FL, USA, 17–21 August 2008. [Google Scholar]
  26. Frear, D.; Morgan, H.; Burchett, S.; Lau, J. The Mechanics of Solder Alloy Interconnects; Chapman & Hall: New York, NY, USA, 1999. [Google Scholar]
  27. Ouyang, F.; Tu, K.N.; Lai, Y. Effect of electromigration induced joule heating and strain on microstructural recrystallization in eutectic SnPb flip chip solder joints. Mater. Chem. Phys. 2012, 136, 210–218. [Google Scholar] [CrossRef]
  28. Dandu, P.; Fan, X.J.; Liu, Y.; Diao, C. Finite element modeling on electromigration of solder joints in wafer level packages. Microelectron. Reliab. 2010, 50, 547–555. [Google Scholar] [CrossRef]
  29. Dandu, P.; Fan, X.J. Assessment of Current Density Singularity in Electromigration of Solder Bumps. In Proceedings of the 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 31 May–3 June 2011. [Google Scholar]
  30. Alam, M.O.; Wu, B.Y.; Chan, Y.C.; Tu, K.N. High electric current density-induced interfacial reactions in micro ball grid array (µBGA) solder joints. Acta Mater. 2006, 54, 613–621. [Google Scholar] [CrossRef]
  31. Gan, H.; Choi, W.J.; Xu, G.; Tu, K.N. Electromigration in Solder Joints and Solder Lines. JOM 2002, 54, 34–37. [Google Scholar] [CrossRef]
  32. Fan, X.; Ranouta, A. Finite Element Modeling of System Design and Testing Conditions for Component Solder Ball Reliability Under Impact. IEEE Trans. Compon. Packag. Manuf. Technol. 2012, 2, 1802–1810. [Google Scholar] [CrossRef]
  33. Lou, H.; Qu, X.; Chen, Z.; Wang, J.; Lee, T.; Wang, H. Lifetime Assessment of Solder Joints of BGA Package in Board Level Drop Test. In Proceedings of the IEEE 6th International Conference on Electronic Packaging Technology, Shenzhen, China, 30 August–2 September 2005. [Google Scholar]
  34. Asasaari, S.; Fadil, N.; Tamin, M. Mechanics of solder/IMC interface of lead-free solder interconnects in ball grid array assembly. J. Mech. Eng. Sci. 2021, 16, 8718–8729. [Google Scholar] [CrossRef]
  35. Meade, D. Failure Rate Estimation in the Case of Zero Failures. In Microelectronic Manufacturing Yield, Reliability, and Failure Analysis III.; SPIE: Bellingham, WA, USA, 1997; Volume 3216. [Google Scholar]
  36. Relex Software Corporation. Calculating MTTF When You Have Zero Failures. Technical Brief. 1993. Available online: https://www.relexsolutions.com/ (accessed on 28 February 2024).
  37. Bernstein, J.; Gurfinkel, M.; Li, X.; Walters, J.; Shapira, Y.; Talmor, M. Electronic circuit reliability modeling. Microelectron. Reliab. 2006, 46, 1957–1979. [Google Scholar] [CrossRef]
  38. JESD22-A110E.01; Highly Accelerated Temperature and Humidity Stress Test (HAST). JEDEC: Arlington, VA, USA, 2012.
  39. Merrett, R.; Bryant, J.; Studd, R. An Appraisal of High Temperature Humidity Stress Tests for Assessing Plastic Encapsulated Semiconductor Components. In Proceedings of the 21st International Reliability Physics Symposium, (IRPS), Phoenix, AZ, USA, 5–7 April 1983; pp. 73–82. [Google Scholar]
  40. Shirley, C.; Blish, R. Thin-Film Cracking and Wire Ball Shear in Plastic Dips Due to Temperature Cycle and Thermal Shock. In Proceedings of the 25th International Reliability Physics Symposium, San Diego, CA, USA, 7–9 April 1987; pp. 238–249. [Google Scholar]
  41. Blish, R.; Vaney, P. Failure Rate Model for Thin Film Cracking in Plastic ICs. In Proceedings of the 29th Annual Proceedings International Reliability Physics Symposium (IRPS), Las Vegas, NV, USA, 9–11 April 1991; pp. 22–29. [Google Scholar]
  42. Hu, K.X.; Yeh, C.-P.; Doot, B.; Skipor, A.; Wyatt, K. Die Cracking in Flip-Chip-on-Board Assembly. In Proceedings of the 45th Electronic Components and Technology Conference, Las Vegas, NV, USA, 21–24 May 1995; pp. 293–299. [Google Scholar]
  43. Annaniah, L.; Devarajan, M.; San, T.K. An Investigation on Die Crack Detection Using Temperature Sensitive Parameter for High Speed LED Mass Production. Results Phys. 2017, 7, 3882–3891. [Google Scholar] [CrossRef]
  44. Emerson, J.; Sweet, J.; Peterson, D. Evaluating Plastic Assembly Processes for High Reliability Applications Using HAST and Assembly Test Chips. In Proceedings of the International Reliability Physics Symposium (IRPS), San Jose, CA, USA, 11–14 April 1994; pp. 191–195. [Google Scholar]
  45. Tanaka, N.; Kitano, M.; Kumazawa, T.; Nishimura, A. Evaluating IC-package Interface Delamination by Considering Moisture-Induced Molding-Compound Swelling. IEEE Trans. Compon. Packag. Technol. 1999, 22, 426–432. [Google Scholar] [CrossRef]
  46. Aihara, T.; Ito, S.; Sasajima, H.; Oota, K. Development of Reliability and Moldability on Fine Pitch Ball Grid Array by Optimizing Materials. J. Electron. Packag. 2001, 123, 88. [Google Scholar] [CrossRef]
  47. Chung, C.; Fun, J.; Huang, M.; Tsai, F. Study on Failure Mechanism of PCT Reliability for BT Substrate Based CSP (Chip Scale Packages). Trans. Am. Soc. Mech. Eng. (ASME) 2002, 124, 334–339. [Google Scholar] [CrossRef]
  48. Saitoh, T.; Matsuyama, H.; Toya, M. Delamination and Encapsulant Resin Cracking in LSI Plastic Packages Subjected to Temperature Cyclic Loading. J. Electron. Packag. 2003, 125, 420–425. [Google Scholar] [CrossRef]
  49. Kwon, W.-S.; Yim, M.-J.; Paik, K.-W.; Ham, S.-J.; Lee, S.-B. Thermal Cycling Reliability and Delamination of Anisotropic Conductive Adhesives Flip Chip on Organic Substrates with Emphasis on the Thermal Deformation. J. Electron. Packag. 2005, 127, 86–90. [Google Scholar] [CrossRef]
  50. Braun, T.; Becker, K.; Koch, M.; Bader, V.; Aschenbrenner, R.; Reichl, H. High-Temperature Reliability of Flip Chip Assemblies. Microelectron. Reliab. 2006, 46, 144–154. [Google Scholar] [CrossRef]
  51. Liu, H.; Pang, X.; Xu, S. Failure Mechanism Study for Low-k Device Bond Pad Crack Post Temperature Cycle. In Proceedings of the 21st Electronics Packaging Technology Conference (EPTC), Singapore, 4–6 December 2019; pp. 208–212. [Google Scholar]
  52. Kho, K.; You, G.; Tan, G.; Hsu, C.-T.; Guan, H. Detecting Wire Bond Inter Layer Dielectric Crack by Dark Field Imaging. In Proceedings of the IEEE 23rd Electronics Packaging Technology Conference (EPTC), Singapore, 1–3 December 2021; pp. 104–107. [Google Scholar]
  53. Zelenka, R. A reliability model for interlayer dielectric cracking during temperature cycling. In Proceedings of the 29th Annual Proceedings International Reliability Physics Symposium (IRPS), Las Vegas, NV, USA, 9–11 April 1991. [Google Scholar]
  54. Amagai, M.; Seno, H.; Ebe, K. Cracking Failures in Lead-on-Chip Packages Induced by Chip Backside Contamination. IEEE Trans. Compon. Packag. Manuf. Technol.-Part B 1995, 18, 119–126. [Google Scholar] [CrossRef]
  55. Dias, R.; Lucero, A.; Niemeyer, S.; Myers, S. Failure Mechanisms in C4 Organic Packages. In Proceedings of the Intel Q&R Conference, Santa Clara, CA, USA, 15 September 1997; pp. 57–68. [Google Scholar]
  56. Ahn, E.C.; Cho, T.J.; Shin, J.B.; Moon, H.J.; Lyu, J.H.; Choi, K.W.; Kang, S.Y.; Oh, S.Y. Reliability of Flip Chip BGA Package on Organic Substrate. In Proceedings of the 50th Electronic Components and Technology Conference (Cat. No.00CH37070), Las Vegas, NV, USA, 21–24 May 2000; pp. 1215–1220. [Google Scholar]
  57. Lin, Y.; Liu, W.; Guo, Y.; Shi, F. Reliability Issues of Low-Cost Overmolded Flip Chip Packages. IEEE Trans. Adv. Packag. 2005, 28, 79–88. [Google Scholar]
  58. Mercado, L.; Wieser, H.; Hauck, T. Multichip Package Delamination and Die Fracture Analysis. IEEE Trans. Adv. Packag. 2003, 26, 152–159. [Google Scholar] [CrossRef]
  59. Tu, P.; Chan, Y.; Lai, J. Effect of Intermetallic Compounds on the Thermal Fatigue of Surface Mount Solder Joints. IEEE Trans. Compon. Packag. Manuf. Technol. -Part B 1997, 20, 87–93. [Google Scholar] [CrossRef]
  60. Suhling, J.C.; Gale, H.S.; Johnson, R.W.; Islam, M.N.; Shete, T.; Lall, P.; Bozack, M.J.; Evans, J.L.; Seto, P.; Gupta, T.; et al. Thermal Cycling Reliability of Lead-Free Solders for Automotive Applications. In Proceedings of the 9th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, Las Vegas, NV, USA, 1–4 June 2004; pp. 350–357. [Google Scholar]
  61. Wang, G.; Groothuis, S.; Ho, P. Packaging Effect on Reliability for Cu/Low-k Structure. In Proceedings of the IEEE 42nd Annual International Reliability Physics Symposium, Phoenix, AZ, USA, 25–30 April 2004; pp. 557–562. [Google Scholar]
  62. Birzer, C.; Stoeckl, S.; Schuetz, G.; Fink, M. Reliability Investigations of Leadless QFN Packages until End-of-life with Application-Specific Board-Level Stress Tests. In Proceedings of the IEEE ECTC 56th Electronic Components and Technology Conference, San Diego, CA, USA, 30 May–2 June 2006; pp. 594–600. [Google Scholar]
  63. Davis, J.; Bozack, M.; Evans, J. Effect of (Au, Ni)Sn4 Evolution on Sn-37Pb/ENIG Solder Joint Reliability Under Isothermal and Temperature-Cycled Conditions. IEEE Trans. Compon. Packag. Technol. 2007, 30, 32–41. [Google Scholar] [CrossRef]
  64. Ghaffarian, R. Reliability of Package on Package (PoP) Assembly Under Thermal Cycles. In Proceedings of the IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Las Vegas, NV, USA, 28–31 May 2019; pp. 472–476. [Google Scholar]
  65. Wu, W.; Held, M.; Jacob, P.; Scacco, P.; Birolini, A. Thermal stress related packaging failure in power IGBT modules. In Proceedings of the International Symposium on Power Semiconductor Devices and IC’s: ISPSD ′95, Yokohama, Japan, 23–25 May 1995; pp. 330–334. [Google Scholar]
  66. Cory, A. Improved Reliability Prediction Through Reduced—Stress Temperature Cycling. In Proceedings of the IEEE 38th Annual International Reliability Physics Symposium, San Jose, CA, USA, 10–13 April 2000; pp. 231–236. [Google Scholar]
  67. Tang, W.; Long, X.; Yang, F. Tensile Deformation and Mirostructures of Sn–3.0Ag–0.5Cu Solder Joints: Effect of Annealing Temperature. Microelectron. Reliab. 2020, 104, 113555. [Google Scholar] [CrossRef]
  68. Xu, J.; Guo, Y.; Su, Y.; Tang, R.; Long, X. Growth Kinetics of Intermetallic Compound in Solder Joints During Thermal Cycling: A Review. In Proceedings of the IEEE 23rd Electronics Packaging Technology Conference (EPTC), Singapore, 1–3 December 2021; pp. 464–468. [Google Scholar]
  69. Striny, K.; Schelling, A. Reliability Evaluation of Aluminum-Metallized MOS Dynamic RAM’s in Plastic Packages in High Humidity and Temperature Environments. IEEE Trans. Compon. Hybrids Manuf. Technol. 1981, 4, 476–481. [Google Scholar] [CrossRef]
  70. Emerson, J.; Peterson, D.; Sweet, J. HAST Evaluation of Organic Liquid IC Encapsulant Using Sandia’s Assembly Test Chips. In Proceedings of the International Reliability Physics Symposium (IRPS), San Diego, CA, USA, 18–20 May 1992; pp. 951–956. [Google Scholar]
  71. Pecht, M.; Dasgupta, A. Physics of Failure: An Approach to Reliable Product Development. In Proceedings of the IEEE 1995 International Integrated Reliability Workshop, Final Report, Lake Tahoe, CA, USA, 22–25 October 1995; pp. 1–4. [Google Scholar]
  72. Tran, T.; Yong, L.; Williams, B.; Chen, S.; Chen, A. Fine Pitch Probing and Wirebonding and Reliability of Aluminum Capped Copper Bond Pads. In Proceedings of the 50th Electronic Components and Technology Conference (Cat. No.00CH37070), Las Vegas, NV, USA, 21–24 May 2000; pp. 1674–1680. [Google Scholar]
  73. Wagner, S.; Hoeppner, K.; Toepper, M.; Wittler, O.; Lang, K. A Critical Review of Corrosion Phenomena in Microelectronic Systems. In Proceedings of the PCIM Europe, International Conference for Power Electronics, Intelligent Motion, Renewable Energy and Energy Management, Nuremberg, Germany, 20–22 May 2014; pp. 1–7. [Google Scholar]
  74. Wu, J.; Lee, C.; Zheng, P.; Lee, J. Electromigration Reliability of SnAgxCuy Flip Chip Interconnects. In Proceedings of the 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546), Las Vegas, NV, USA, 4 June 2004; pp. 961–967. [Google Scholar]
  75. Balkan, H. Flip Chip Electromigration: Impact of Test Conditions in Product Life Predictions. In Proceedings of the 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546), Las Vegas, NV, USA, 4 June 2004; pp. 983–987. [Google Scholar]
  76. Shao, T.; Chen, I.H.; Chen, C. Electromigration Failure Mechanism of Sn96.5Ag3.5 Flip Chip Solder Bumps. In Proceedings of the 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546), Las Vegas, NV, USA, 4 June 2004; pp. 979–982. [Google Scholar]
  77. Basaran, C.; Ye, H.; Hopkins, D.; Frear, D.; Lin, J. Failure Modes of Flip Chip Solder Joints Under High Electric Current Density. Trans. ASME J. Electron. Packag. 2005, 127, 157–163. [Google Scholar] [CrossRef]
  78. Ding, M.; Wang, G.; Chao, B.; Ho, P.; Su, P.; Uehling, T.; Wontor, D. A Study of Electromigration Failure in Pb-free Solder Joints. In Proceedings of the 43th International Reliability Physics Symposium (IRPS), San Jose, CA, USA, 17–21 April 2005; pp. 518–523. [Google Scholar]
  79. Tajedini, M.; Osmanson, A.T.; Kim, Y.R.; Madanipour, H.; Kim, C.U.; Glasscock, B.; Khan, M. Electromigration Effect on the Pd Coated Cu Wirebond. In Proceedings of the IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1–4 June 2021. [Google Scholar]
  80. Lin, Y.; Peng, C.; Chiang, K. Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging. ASME J. Electron. Packag. 2002, 124, 234–239. [Google Scholar] [CrossRef]
  81. Liu, X.; Sooklal, V.; Verges, M.; Larson, M. Experimental Study and Life Prediction on High Cycle Vibration Fatigue in BGA Packages. Microelectron. Reliab. 2006, 46, 1128–1138. [Google Scholar] [CrossRef]
  82. Noctor, D.; Bader, F.; Viera, A.; Boysan, P.; Golwalkar, S.; Foehringer, R. Attachment Reliability Evaluation and Failure Analysis of Thin Small Outline Packages (TSOP’s) with Alloy 42 Lead Frames. IEEE Trans. Compon. Hybrids Manuf. Technol. 1993, 16, 961–971. [Google Scholar] [CrossRef]
  83. Jeon, Y.; Lee, Y.; Choi, Y. Thin Electroless Cu/OSP on Electroless Ni as a Novel Surface Finish for Flip Chip Solder Joints. In Proceedings of the IEEE 56th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 30 May–2 June 2006; pp. 119–124. [Google Scholar]
  84. Amagai, M. The Effect of Polymer Die Attach Material on Solder Joint Reliability. In Proceedings of the Workshop on Mechanical Reliability of Polymer Materials and Plastic Packages of IC Devices, Paris, France, 29 November–2 December 1998; Volume 25, pp. 223–230. [Google Scholar]
  85. Scalise, J. Plastic Encapsulated Microcircuits (PEM) Qualification Testing. In Proceedings of the 1996 IEEE ECTC, Orlando, FL, USA, 28–31 May 1996; pp. 392–397. [Google Scholar]
  86. Danielson, D.; Marcyk, G.; Babb, E.; Kudva, S. HAST Applications: Acceleration Factors and Results for VLSI Components. In Proceedings of the 1989 IEEE/IRPS, Phoenix, AZ, USA, 11–13 April 1989; pp. 114–121. [Google Scholar]
  87. Gershman, I.; Bernstein, J. Nondestructive Quantitative Analysis of Crack Propagation in Solder Joints. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 1263–1270. [Google Scholar] [CrossRef]
  88. Gershman, I.; Bernstein, J. Solder-Joint Quantitative Crack Analysis—Ohmic Resistance Approach. IEEE Trans. Compon. Packag. Manuf. Technol. 2012, 2, 748–755. [Google Scholar] [CrossRef]
  89. Gershman, I.; Bernstein, J. Structural health monitoring of solder joints in QFN package. Microelectron. Reliab. 2012, 52, 3011–3016. [Google Scholar] [CrossRef]
  90. Hofmeister, J.; Lall, P.; Panchagade, D.; Roth, N.; Tracy, T.; Judkins, J.; Harris, K. Ball Grid Array (BGA) Solder Joint Intermittency Detection: SJ BIST™. In Proceedings of the IEEE Aerospace Conference, Big Sky, MT, USA, 1–8 March 2008. [Google Scholar]
  91. Kanie, H.; Nonaka, Y. Estimation of Weibull shape-parameters for two independent competing risks. IEEE Trans. Rel. 1985, 34, 53–56. [Google Scholar] [CrossRef]
  92. Hsu, S.-H.; Yang, K.; Milor, L. Machine learning for detection of competing wearout mechanisms. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 31 March–4 April 2019; pp. 1–9. [Google Scholar]
  93. Chakraborty, U.; Bender, E.; Boning, D.S.; Thompson, C.V. Identification of Multiple Failure Mechanisms for Device Reliability Using Differential Evolution. IEEE Trans. Device Mater. Reliab. 2023, 23, 599–614. [Google Scholar] [CrossRef]
  94. Chery, E.; Fohn, C.; Messemaeker, J.; Beyne, E. Reliability Challenges in Advanced 3D Technologies: The Case of through Silicon Vias and SiCN–SiCN Wafer-to-Wafer Hybrid-Bonding Technologies. IEEE Trans. Device Mater. Reliab. 2023, 23, 615–622. [Google Scholar] [CrossRef]
  95. Yip, L.; Tsai, J.; Lin, R.; Hsu, I. 2.5D MCM (Multi-chip Module) Technology Development for Advanced Package. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 778–782. [Google Scholar] [CrossRef]
  96. Murai, K.; Onozeki, H.; Kang, D.; Hirano, K.; Mitsukura, K. Study of Fabrication and Reliability for the Extremely Large 2.5D Advanced Package. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 899–906. [Google Scholar] [CrossRef]
  97. Lee, S.; Chen, K.; Lu, J. Wafer-to-Wafer Alignment for Three-Dimensional Integration: A Review. IEEE J. Microelectromechanical Syst. 2011, 20, 885–898. [Google Scholar] [CrossRef]
  98. La Tulipe, D.; Shi, L.; Topol, A.; Frank, D.; Steen, S.; Pfeiffer, D.; Posillico, D.; Neumayer, D.; Goma, S.; Vichiconti, J.; et al. Critical aspects of layer transfer and alignment tolerances for 3D integration processes. In Proceedings of the 3D Packaging Workshop IMAPS Device Packaging Conference, Scottsdale, AZ, USA, 19–21 March 2006. Paper THP22. [Google Scholar]
  99. Chen, K.-N.; Lee, S.H.; Andry, P.S.; Tsang, C.K.; Topol, A.W.; Lin, Y.-M.; Lu, J.-Q.; Young, A.M.; Ieong, M.; Haensch, W. Structure design and process control for Cu bonded interconnects in 3D integrated circuits. In Proceedings of the 2006 International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 20–22. [Google Scholar]
  100. Kim, H.; Najafi, K. Characterization of low-temperature wafer bonding using thin-film parylene. J. Microelectromech. Syst. 2005, 14, 1347–1355. [Google Scholar] [CrossRef]
  101. Lee, M.C.; Kang, S.J.; Jung, K.D.; Cho, S.-H.; Cho, Y.C. A high yield rate MEMS gyroscope with a packaged SiOG process. J. Micromech. Microeng. 2005, 15, 2003–2010. [Google Scholar] [CrossRef]
  102. Iyer, S.; Bajwa, A. Reliability Challenges in Advance Packaging. In Proceedings of the 2018 IEEE International Reliability Physics Symposium, Burlingame, CA, USA, 11–15 March 2018; pp. 4D.5-1–4D.5-4. [Google Scholar] [CrossRef]
  103. Liu, C.; Chen, J.; Hsu, Y.; Wang, R.; Wang, Y.; Ho, B.; Wu, Y.; Pan, P.; Ku, H.; Wang, K.; et al. Comprehensive Solution for Micro Bump Coplanarity Control. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019. [Google Scholar] [CrossRef]
  104. Li, L.; Ton, P.; Nagar, M.; Chia, P. Reliability Challenges in 2.5D and 3D IC Integration. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference ECTC, Orlando, FL, USA, 30 May–2 June 2017. [Google Scholar] [CrossRef]
  105. Semiconductor Industry Association (SIA). International Technology Roadmap for Semiconductors (IRTS); Semiconductor Industry Association: Washington, DC, USA, 2015. [Google Scholar]
  106. Hollstein, K.; Weide-Zaage, K. Advances in Packaging for Emerging Technologies. In Proceedings of the SMTA Pan Pacific Microelectronics Symposium, Big Island, Hawaii, 10–13 February 2020. [Google Scholar]
  107. Jayaram, V.; Gupte, O.; Bhangaonkar, K.; Nair, C. A Review of Low-Temperature Solders in Microelectronics Packaging. IEEE Trans. Compon. Packag. Manuf. Technol. 2023, 13, 570–579. [Google Scholar] [CrossRef]
  108. Panigrahy, A.K.; Chen, K.-N. Low temperature Cu–Cu bonding technology in three-dimensional integration: An extensive review. J. Electron. Packag. 2018, 140, 1–10. [Google Scholar] [CrossRef]
  109. Tsai, M.-Y.; Lin, Y.-S.; Kao, C.-L.; Wang, S.-B.; Lin, T.-C.; Hung, Y.-C. Effect of novel SAC-Bi solder joints on electromigration reliability for wafer level chip scale packages. In Proceedings of the 54th International Symposium on Microelectronics, San Diego, CA, 11–14 October 2021; pp. 136–141. [Google Scholar]
  110. Liu, Y.; Tu, K.N. Low melting point solders based on Sn, Bi, and in elements. Mater. Today Adv. 2020, 8, 100115. [Google Scholar] [CrossRef]
  111. Shih, M.; Lee, Y.; Chen, R.; Tarng, D.; Hung, C. Parameters Study of Thermomechanical Reliability of Board-level Fan-out Package. In Proceedings of the 2017 International Conference on Electronics Packaging (ICEP), Yamagata, Japan, 19–22 April 2017; pp. 66–70. [Google Scholar] [CrossRef]
  112. Nam, S.; Kang, J.; Lee, I.; Kim, Y.; Yu, H.; Kim, D. Investigation on Package Warpage and Reliability of the large size 2.5D Molded Interposer on Substrate (MIoS) Package. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022. [Google Scholar] [CrossRef]
  113. Hu, Y.; Liang, Y.; Hu, H.; Tan, C.; Shen, C.; Lee, C.; Hou, S. CoWoS Architecture Evolution for Next Generation HPC on 2.5D System in Package. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 1022–1026. [Google Scholar] [CrossRef]
  114. Kim, Y.; Kim, J.; Kim, H.; Lee, H.; Kim, D.; Seo, S.; Jo, C.; Kim, D. Die to Wafer Hybrid Cu Bonding for Fine Pitch 3D-IC Applications. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 1043–1047. [Google Scholar] [CrossRef]
  115. Chiu, J.; Chang, K.; Hsu, S.; Tsao, P.; Lii, M. WLCSP Package and PCB Design for Board Level Reliability. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019. [Google Scholar] [CrossRef]
  116. Chiang, Y.; Wu, B.; Kuo, M.; Yang, J.; Fang, J. Electroplating Uniformity Enhancement for High Performance Fan-Out Panel Level Packaging. In Proceedings of the IEEE 2023 International Conference on Environmental Psychology Aarhus (ICEP), Kumamoto, Japan, 19–22 April 2023; pp. 63–64. [Google Scholar]
  117. Liang, C.; Lin, Y.; Kao, C.; Tarng, D.; Wang, S.; Hung, Y.; Lin, G.; Lin, K. Electromigration Reliability of Advanced High-Density Fan-Out Packaging with Fine-Pitch 2-/2-μm L/S Cu Redistribution Lines. IEEE Trans. Compon. Packag. Manuf. Technol. 2020, 10, 1438–1445. [Google Scholar] [CrossRef]
  118. Nimbalkar, P.; Aguebor, M.; Kathaperumal, M.; Swaminathan, M.; Tummala, R. Evaluation of Parylene-HT as Dielectric for Application in Advanced Package Substrates. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 1603–1608. [Google Scholar] [CrossRef]
  119. Lin, R.; Yip, L.; Lai, C.; Lin, B.; Peng, C.; Syn, C.; Chang, M. Reliability Challenges of Large Organic Substrate with High-Density Fan-out Package. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 277–282. [Google Scholar] [CrossRef]
Figure 1. “Building from ground up”—pyramid approach.
Figure 1. “Building from ground up”—pyramid approach.
Micromachines 15 00398 g001
Figure 2. Different failure modes in flip-chip devices.
Figure 2. Different failure modes in flip-chip devices.
Micromachines 15 00398 g002
Figure 3. Solder interconnects subjected to shear stresses during thermal cycling due to CTE mismatch between the die (α1), solder (α2), and substrate (α3). α denotes the CTE of the material.
Figure 3. Solder interconnects subjected to shear stresses during thermal cycling due to CTE mismatch between the die (α1), solder (α2), and substrate (α3). α denotes the CTE of the material.
Micromachines 15 00398 g003
Figure 4. Pie chart showing the breakdown of failures observed in the field categorized by failure mechanism [11].
Figure 4. Pie chart showing the breakdown of failures observed in the field categorized by failure mechanism [11].
Micromachines 15 00398 g004
Figure 5. Doughnut chart comparison of failure mechanisms detected by HAST and TC [11].
Figure 5. Doughnut chart comparison of failure mechanisms detected by HAST and TC [11].
Micromachines 15 00398 g005
Table 1. Common models for failure mechanism acceleration.
Table 1. Common models for failure mechanism acceleration.
ModelAcceleration FactorParameters
Temperature (Arrhenius model) A F ( T ) = exp E a k 1 T u s e 1 T s t r e s s (3)Ea: activation energy
k: Boltzmann constant = 8.617 × 10−5 eV/k
T: temperature, K
Temperature and voltage (Eyring model) A F ( T , V ) = A F ( T ) exp B V s t r e s s V u s e (4)B depends on mechanism, default B = 1.
V: voltage, V
Temperature and relative humidity (model for corrosion failures in plastic packages: Peck model) A F ( T , R H ) = A F ( T ) R H s t r e s s R H u s e n (5)n = 3, Ea = 0.9 eV
RH: relative humidity, %
Temperature cycling (model for mechanical fatigue failures of solder/other contacts: Coffin–Manson model) A F ( Δ T ) = Δ T s t r e s s Δ T u s e C (6)C depends on material’s mechanical properties.
Δ T : temperature interval, K or C.
Vibration, drop, and strain testing (model for accelerated shock and strain on solder joint, interconnects, etc.) A F Δ g = g r m s   t g r m s   f = t f t t (7)grmst = stress test conditions [m/s2]
grmsf = stress use conditions [m/s2]
tf = use condition stress time
tt = test condition stress time
Table 2. Common failure prediction models used in packaging testing.
Table 2. Common failure prediction models used in packaging testing.
Model NameDescription/ParametersApplication ExamplesModel Equation
Coffin–MansonFailure time estimation in thermal cyclesFatigue of solder joint and other connections N f = C Δ γ 2 ε f 1 / B (8)
where
Nf = mean number of cycles to failure
Δ γ = inelastic strain range, where
Δ γ = F L D h Δ α Δ T
ε f = fatigue ductile coefficient in shear, e.g., solder constant is 0.325
B = scale factor determined by experiment
C = fatigue strength coefficient
Norris and LandzbergLife as a function of thermal cyclesThermal fatigue of tin-lead solder interconnects N l a b N m a c h i n e = f L f M 1 / 3 Δ T M Δ T L 2 Φ T m a x (9)
where
f L f M   and   Δ T M Δ T L are the maximum fatigue life and temperature change ratios under isothermal conditions,
Φ T m a x = exp E a k 1 T L m a x 1 T M m a x
Miner’s RuleCumulative linear fatigue damage as a function of flexingMetal fatigue (valid only up to the yield strength of the material) C D = i = 1 k C S i N i 1 (10)
where
CD = cumulative damage
Cs = number of cycles applied @ stress Si
Ni = number of cycles to failure under stress Si (determined from an S-N diagram for that specific material)
k = number of loads applied
Coffin–MansonFatigue life of metals (ductile materials) due to thermal cycling and/or thermal shockSolder joints and other connections L i f e = A ( Δ T ) B (11)
where
Life = cycles to failure
A = scale factor determined by experiment
B = scale factor determined by experiment
∆T = temperature change
Plastic strainPlastic strain-based life prediction model based on the power law.Typically used in bulk solder failure D f = A ε p b (12)
where
Df = number of drops to failure
A is a constant
b is an exponent
εp = plastic strain
GarofaloVery slow vibration which initiates creep strainLead-free electronic interconnects ε ˙ c r = C 1 s i n h C 2 σ e C 3 e x p C 4 / T (13)
where
σ e = creep strain constant
C1–C4 = creep parameters dependent on the implicit creep model
B = scale factor determined by experiment
T = temperature
Peck’sLife as a combined function of temperature and humidityEpoxy packaging τ = A 0 ( R H ) 2.7 exp [ 0.79 k T ] (14)
where
t = median life (time to failure)
A0 = scale factor determined by experiment
RH = relative humidity
k = Boltzmann’s constant = 8.62 × 10−5 eV/K
T = temperature (degrees Kelvin)
Peck’s Power LawTime to failure as a function of relative humidity voltage and temperatureCorrosion T F = A 0 R H N f ( V ) exp [ E a / k T ] (15)
where
TF = time to failure
A0 = scale factor determined by experiment
RH = relative humidity
N = ~2.7
Ea = 0.7–0.8 eV (appropriate for aluminum corrosion when chlorides are present)
f(V) = an unknown function of applied voltage
k = Boltzmann’s constant = 8.62 × 10−5 eV/K
T = temperature (degrees Kelvin)
Eyring/Black/KenneyLife as a function of temperature and voltage (or current density (Black))Capacitors, electromigration in aluminum conductors τ = A T exp [ B k T ] (16)
where
t = median life (time to failure)
A = scale factor determined by experiment
B = scale factor determined by experiment
k = Boltzmann’s constant = 8.62 × 10−5 eV/K
T = temperature (degrees Kelvin)
EyringTime to failure as a function of current, electric field, and temperatureSurface inversion, mechanical stress T F = B ( I s u b ) N exp ( E a / k T ) (17)
where
TF = time to failure
B = scale factor determined by experiment
Isub = peak substrate current during stress
N = 2 to 4
Ea = −0.1 to −0.2 eV (note that the apparent activation energy can be negative)
k = Boltzmann’s constant = 8.62 × 10−5 eV/K
T = temperature (degrees Kelvin)
Thermomechanical StressTime to failure as a function of change in temperatureStress generated by differing thermal expansion rates T F = B 0 ( T 0 T ) n exp ( E a / k T ) (18)
where
TF = time to failure
B0 = scale factor determined by experiment
T0 = stress free temperature for metal (approximate metal deposition temperature for aluminum)
N = 2–3
Ea = 0.5–0.6 eV for grain-boundary diffusion, ~1 eV for intra-grain diffusion
k = Boltzmann’s constant = 8.62 × 10−5 eV/K
T = temperature (degrees Kelvin)
Table 3. Examples of standard life-test qualifications.
Table 3. Examples of standard life-test qualifications.
Test TypesStress ConditionsTest Duration/AcceptSample SizeResults
Preconditioning test30 °C/60%RH200 hSum of samples for TC and HASTPass/fail
Temperature cycling−65 °C to 150 °C 1000 cycles45 units per lot for 3 lotsPass/fail
Temperature and humidity test (no bias)85 °C/85%RH1000 h45 units per lot for 3 lotsPass/fail
Pressure cooker test (PCT)121 °C/2atm/100%RH200 h45 units per lot for 3 lotsPass/fail
Highly accelerated stress test (HAST)130 °C/85%RH100 h45 units per lot for 3 lotsPass/fail
Thermal shock−55 °C to 125 °C1000 cycles45 units per lot for 3 lotsPass/fail
High-temperature storage150 °C1000 h45 units per lot for 3 lotsPass/fail
Solder Ball Shear (SBS)Mechanical shear stress1000 h30 bonds/5 unitsPass/fail
Table 4. Standard test practices [38].
Table 4. Standard test practices [38].
TestTest Conditions in JEDECTarget Failure Mechanism
PreconditioningJESD22A 113Cracking, delamination, interconnect damage failures
Unbiased and biased highly accelerated stress testing (HAST)JESD22A118Corrosion, delamination, contamination, and migration; polymer aging failures
High-temperature storageJESD22A103Diffusion, oxidation, degradation of material properties, IMC, creep failures
Temperature humidity bias (or no bias) (THB)JESD22A101Corrosion, contamination, and migration failures
Temperature cycling (TC)JESD22A104Cracking, deamination, fatigue failures
Power thermal cyclingJESDA105Cracking and delamination, fatigue, material degradation failures
Mechanical shock (drop)JESD22B104Cracking and delamination and fatigue, brittle fracture failures
VibrationJESD22-B103BSolder joint failures, and cracking and impact failures
BendingJESD22B113Package, solder joint failures, cracking, and delamination
Thermal shock (TS)JESD22A106Cracking, delamination, and fatigue; brittle fracture failures
Autoclave (PCT)JESD22A102Corrosion, delamination, and migration; interface contamination failures
Solder Ball Shear (SBS)JESD22B117Solder joint failures and I/O shorts
Solderability (SD)JESD22B102Solder joint failures and creep failures
Table 5. Studies to reveal failures using accelerated tests.
Table 5. Studies to reveal failures using accelerated tests.
Packaging Failure Mechanisms Failure Mechanism DescriptionsAccelerated StressorsSources
Die cracking; thin film cracking; passivation crackingSerious decrease in performance and, at times, open-circuit failuresTemperature cycling; power cycling; thermal shock and preconditioning test. Example conditions are −55 °C +125 °C and 65 °C +150 °CMerrett et al., 1983 [39], Shirley et al., 1987 [40], Blish et al., 1991 [41], Hu et al., 1995 [42], Annaniah et al., 2017 [43], H Zhou et al. 2023 [9]
Interface delamination and induced micro-cracksDelamination and cracking inside the die or any other interfaces in the packageTemperature cycling and thermal shock; HAST; temperature and humidity test; pressure cooker test; and mechanical bending test in stacked-die chip-scale packages (CSPs)Emerson et al., 1994 [44], Tanaka et al., 1999 [45], Aihara et al., 2001 [46], Chung et al., 2002 [47], Saitoh et al., 2003 [48], Kwon et al., 2005 [49], Braun et al., 2006 [50], C. Qin et al., 2020 [7]
Bond pad crackGap between the epoxy and die top, detecting wire bond inter-layer dielectric crack using dark-field imagingLow-k device bond pad, crack post temperature cycle; root cause for Al bond pad crack post TC; local compressive/tensile loading during wire bonding impact/vibration stepLiu et al., 2019 [51], Boettge et al., 2018 [13], Kho et al., 2021 [52], H. Zhou et al., 2023 [9]
Package cracking; substrate cracking; underfill crackingPackage body or internal “element” crackingTemperature cycling, such as −65 °C +150 °C; impact of package geometry on delaminationZelenka et al., 1991 [53], Amagai et al., 1995 [54], Dias et al., 1997 [55], Ahn et al., 2000 [56], Lin et al., 2005 [57], Mercado et al., 2003 [58]
Solder joint fatigue/cracking; BGA and PoP ball failureSolder joint cracking and solder creep fatigue damageTemperature cycling; power cycling; vibration fatigue testingTu et al., 1997 [59], Suhling et al., 2004 [60], Wang et al., 2004 [61], Birzer et al., 2006 [62], Davis et al., 2007 [63], Ghaffarian et al., 2019 [64]
Wire lifting/broken bond/heel broken of stitch bondsIMC cracks or wire heel cracking and bond degradationHigh-temperature storage (150 °C, 170 °C); power cycling and thermal cyclingUebbing et al., 1981 [14], Hund et al., 1985 [15], Wu et al., 1995 [65], Cory et al., 2000 [66], Park et al., 2004 [17], Tang et al., 2020 [67], Xu et al., 2021 [68]
CorrosionDue to the impacts from moisture and contaminants; due to the residues present on the electronic device (PCBs)Temperature and humidity test; pressure cooker test; HAST; PCTStriny et al., 1981 [69], Emerson et al., 1992 [70], Pecht et al., 1995 [71], Tran et al., 2000 [72], Wagner et al., 2014 [73], C. Qin et al., 2020 [7],
ElectromigrationDamage seen at interconnects or solder bumps with high-current applicationsCurrent density; temperature; directionality of EM failure at high current density and temperature conditionsWu et al., 2004 [74], Balkan et al., 2004 [75], Shao et al., 2004 [76], Basaran et al., 2005 [77], Ding et al., 2005 [78], Tajedini et al., 2021 [79]
Table 6. Recent reliability studies on advanced packaging assemblies.
Table 6. Recent reliability studies on advanced packaging assemblies.
TopicDevice TestedTest TypeReferences
Thermomechanical reliability of an aWLP fan-out packageaWLP (advanced wafer-level package)FEA modeling of the creep strain energy density (CSED) to die and package dimensions [111]
2.5D packaging developmentCoWoS MCMFESA to check warpage and thermal cycling[101,112]
CoWoS architecture in 2.5D systemCoWoS-S compared to CoWoS-Lμ-bump, TSV, TIV, and C4 daisy chains[113]
3D die-to-wafer hybrid Cu bondingHCB with 4 μm pitch and 2 μm padDaisy chains that consist of 2 μm pad in 4 μm pitch[114]
Wafer-level chip-size package (WLCSP) reliabilityWLCASP packages designed with PBO2 openings: 130 µm and 190 µmThermal shock test (LLTS)—LLTS75x stress[115]
Reliability of fan-out WLPFOWLP packagesStandard JEDEC reliability tests[6]
Electroplating uniformity in FOPLPFOPLP packagesFEA simulations of thickness variation[116]
Electromigration reliability of Cu redistribution line (RDL) technology 20 μm long Cu RDLsFailure analysis of high-current and-temperature-stressed devices and FEA models[117]
Parylene-HT as dielectric compared to SiO2Mirco-vias surrounded by dielectricsFA models to simulate thermomechanical strain[118]
Reliability of high-layer-count PCBsLarge-area fan-out packageStandard JEDEC reliability tests[119]
Silicon interposer fabrication and reliabilityLarge silicon interposerThermal cycling[102]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Bender, E.; Bernstein, J.B.; Boning, D.S. Modern Trends in Microelectronics Packaging Reliability Testing. Micromachines 2024, 15, 398. https://doi.org/10.3390/mi15030398

AMA Style

Bender E, Bernstein JB, Boning DS. Modern Trends in Microelectronics Packaging Reliability Testing. Micromachines. 2024; 15(3):398. https://doi.org/10.3390/mi15030398

Chicago/Turabian Style

Bender, Emmanuel, Joseph B. Bernstein, and Duane S. Boning. 2024. "Modern Trends in Microelectronics Packaging Reliability Testing" Micromachines 15, no. 3: 398. https://doi.org/10.3390/mi15030398

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop