Next Article in Journal
A Convolutional Dynamic-Jerk-Planning Algorithm for Impedance Control of Variable-Stiffness Cable-Driven Manipulators
Previous Article in Journal
Performance Enhancement for Indium-Free Metal Oxide Thin-Film Transistors with Double-Active-Layers by Magnetron Sputtering at Room Temperature
Previous Article in Special Issue
Three-Dimensional Kidney-on-a-Chip Assessment of Contrast-Induced Kidney Injury: Osmolality and Viscosity
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Temperature-to-Digital Converters’ Evolution, Trends and Techniques across the Last Two Decades: A Review

Department of Electrical, Computer and Biomedical Engineering, University of Pavia, 27100 Pavia, Italy
*
Author to whom correspondence should be addressed.
Micromachines 2022, 13(11), 2025; https://doi.org/10.3390/mi13112025
Submission received: 4 November 2022 / Revised: 14 November 2022 / Accepted: 18 November 2022 / Published: 19 November 2022
(This article belongs to the Special Issue Feature Papers of Micromachines in Engineering and Technology 2021)

Abstract

:
This paper presents an extensive review of the main highlights in the Temperature-to-Digital Converters (TDCs) field, which has gained importance and research interest throughout the last two decades. The key techniques and approaches that have led to the evolution of this kind of systems are presented and compared; their peculiarities are identified in order to highlight the pros and cons of the different design methods, and the main trade-offs are extracted from this analysis. Finally, the trends that have emerged from the performance evaluation of the large amount of published works in this field are identified with the purpose of providing a directional view of the past, present and future features of these devices.

1. Introduction

On-chip temperature measurements have acquired an increasingly important role over the past two decades, especially if we consider sensors that produce data in the digital domain, referred to as Temperature-to-Digital Converters (TDCs). The growing computational power of modern microprocessors has given rise to a higher degree of criticality in their thermal management process [1]; for instance, dynamic voltage and frequency scaling (DVFS), a commonly used approach in this framework [2], requires responsive temperature tracking to allow an effective control on the thermal status of the microprocessor and, furthermore, the cooling fans’ speed regulation is also based on a continuous temperature monitoring [3,4,5]. Another field that has featured a remarkable growth in recent years is the Micro-Electro-Mechanical Systems (MEMS) one [6]; the employment of these devices for Internet of Things (IoT) applications, supported by a parallel technological development, has led the research focus to more and more robust devices with respect to the influence of environmental effects. One of the main challenges is, indeed, to mitigate the impact of the ambient temperature on the performance of these devices; the micro-structures used as sensing elements suffer from a significant thermal spread causing a degradation of the reliability of the sensed quantity. For this reason, high-precision MEMS devices also require a temperature tracking to compensate for the drift of their parameters [7,8,9,10,11]. Integrated temperature sensors are also used for clinical applications [12,13,14]; devices that provide a high accuracy monitoring in the human body temperature range are needed for the detection of atypical biomedical conditions. Lastly, since temperature is a fundamental physical parameter of both industry and everyday life, on-chip temperature measurements are also combined with radio-frequency identification (RFID) tags in several applications: monitoring of the food cold chain [15,16], environmental monitoring [17,18], supply chain management of healthcare products [19], animal healthcare monitoring [20] and many more.
This paper, besides proposing a State-of-the-Art analysis, reviews the different design techniques employed for all the presented on-chip temperature sensing applications and is organized as follows: Section 2 addresses the basics of TDCs taking all their relevant parameters into account and explaining, through four different subsections, the different design techniques adopted so far. Section 3, instead, is focused on the main trends and trade-offs that emerge from the analysis of the previous section; its goal is to provide an overview of the TDC features’ evolution over more than twenty years of research activity and to deliver to the reader an useful set of performance considerations to discerningly start a new design in this framework or simply to enter more deeply into the world of TDCs. Section 4 concludes the paper, highlighting the main introduced concepts with a brief recap.

2. Temperature-to-Digital Converters: Theory and Design Techniques

There are a lot of applications requiring on-chip temperature sensing, as seen in the introduction, and concern several systems in the microelectronics field; despite their wide range, all the reported examples [3,4,5,7,8,9,10,11,12,13,14,15,16,17,18,19,20] have one important feature in common: they provide temperature information in the form of digital data. This is fundamental as it makes them compatible for a direct communication with digital signal processing (DSP) circuits that can easily handle the needed temperature information and at the same time reduces the complexity of the system they are inserted in; for this reason, they are often referred to as smart temperature sensors [21] or as Temperature-to-Digital Converters (TDCs). It is important to specify that this category of temperature sensors was born with a cost-minimization perspective and that its development in the past two decades has consequently followed this line; even if, in principle, these fully integrated temperature sensors have significant limitations in terms of accuracy and sensing range with respect to other existing discrete sensors, their great success is related to their compatibility with large-scale production of low-cost products being integrated within the system in which they are operated. Figure 1 shows the conceptual diagram of a TDC.
It is composed of an Analog Front-End (AFE), an Analog-to-Digital Converter (ADC) and a Digital Back-End (DBE). The TDC’s input signal is temperature; the AFE, the first block of the chain, is responsible to sense it achieving an electrical form for it (either in the voltage or in the current domain) and to generate at its output the signals needed for the Analog-to-Digital conversion: a proportional-to-absolute-temperature (PTAT) signal which contains the information to be converted and a reference (REF) signal, which in principle is a Zero-Temperature-Coefficient (ZTC) signal, with respect to which the conversion is carried out. Those signals enter the ADC which produces PTAT digital words with an intrinsic n-bit resolution and with a data rate ( f S ) that depends on the converter architecture; this operation is typically performed without the use of sample and hold (S/H) circuits because of the relative slowness of the temperature signal with respect to the common conversion rates of ADCs. The n-bit codes are then processed by the DBE that, in fact, acts as an oversampler; it refines their intrinsic resolution performing decimation and filtering with a certain OverSampling Ratio (OSR) in order to obtain the output codes of the TDC which feature a higher resolution at the cost of a lower data rate ( f S / O S R ).
The resulting time interval required to perform a single Temperature-to-Digital conversion is therefore given by
T c o n v = 1 f S · O S R .
Considering the TDC’s minimum working supply voltage ( V s y ) and the current drained from it ( I s y ), its conversion energy can be defined as
E c o n v = V s y · I s y · T c o n v .
It is a parameter of paramount importance together with the TDC’s resolution ( R e s ) which is the minimum temperature difference that can correctly be detected and which is determined by the quantization noise of the ADC, by the electronic noise (thermal, flicker, etc.) and by T c o n v itself. Another parameter of interest is the temperature inaccuracy ( I A ); in absolute form, it is a statistical evaluation of the worst case (or ± 3 σ ) temperature error and, introducing the TDC conversion range ( T r a n g e ), its relative form can be expressed as
I A r e l = I A T r a n g e .
This quantity is strongly dependent on the number of controlled temperatures at which the TDC gets trimmed ( n t r i m ) [22,23], an unavoidable procedure in most applications; the trimming process, which basically consists of calibrating the sensed temperature error, is a cost of great relevance in the TDC framework as heating and cooling the devices to be trimmed is a very time consuming operation. For this reason, n t r i m should be minimized to preserve the cost-effectiveness of the sensor.
Due to the presence of this great variety of parameters of interest, several Figures of Merit (FoMs) have been introduced to provide TDC performance metrics in a synthetic way and from specific perspectives:
F o M R e s = E c o n v · ( R e s ) 2 ,
F o M I A = E c o n v · ( I A r e l ) 2 ,
F o M $ = ( 1 + n t r i m ) · A r e a F 2 ,
F o M g l o b a l = E c o n v · R e s · I A T r a n g e 2 · ( 1 + n t r i m ) · A r e a F 2 .
(4) and (5), presented in [24], involve the TDC conversion energy together with its resolution or its inaccuracy, respectively. (6), instead, addresses only the production cost of the TDC ( A r e a is the active silicon area of the device, F is the feature size of the adopted technological process) while (7) provides a global overview of the TDC performance [25].
Several ADC architectures have been used, in literature, to be included in TDCs; there are examples of Flash-based TDCs [26,27], of SAR-based ones [11,28], of Σ Δ -based ones [5,14], of time/frequency-domain-based ones [22,29] or of hybrid solutions [30,31]. It is important to notice that even if, conceptually, Flash ADCs and SAR ADCs are faster for a given quantization noise and clock frequency, to overcome the limits imposed by the presence of thermal noise, their output codes still need to be processed by the DBE and therefore, for the same amount of power consumption, are not automatically at a higher energy efficiency level with respect to the Σ Δ -based or the time/frequency-domain-based alternatives. Actually, thanks to their versatility, Σ Δ converters are the most used ones in the case of AFEs generating static temperature-dependent signals while time/frequency-domain-based ADCs are preferred in the case of dynamic temperature-dependent signals.
It makes sense to categorize TDCs on the basis of the sensing device/technique adopted within the AFE; four main categories can be identified: BJT-based TDCs (Section 2.1), MOS-based TDCs (Section 2.2), resistor-based TDCs (Section 2.3) and Thermal Diffusivity (TD) based TDCs (Section 2.4). The next subsections address in detail the peculiarities of each of these sensing techniques.

2.1. BJT-Based TDCs

On-chip temperature sensing can be achieved exploiting the thermal behaviour of the base-to-emitter voltage ( V B E ) of bipolar transistors operated in the forward-active region [4,5,11,13,16,19,26,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47]. It can be expressed as
V B E = k T q l n I C I S
where k is the Boltzmann constant, T is the absolute temperature, q is the magnitude of the elementary charge, I C is the collector current and I S is the bipolar saturation current which, typically, is in the fA to pA range, is proportional to the emitter area and exhibits a strong temperature dependence (as a rule of thumb, it doubles for every 5 K rise). This provides a complementary-to-absolute-temperature (CTAT) voltage variation with the well-known average slope of about 2 mV/K. Considering a pair of BJTs operating at different collector currents and/or having different emitter areas, a proportional-to-absolute-temperature (PTAT) signal is obtained taking the difference of their base-to-emitter voltages into account. According to the scheme and the notations of Figure 2, the following expression holds:
Δ V B E = k T q l n ( a · b )
where a and b are the emitter areas and collector currents ratios, respectively.
Referring to Figure 1, a Δ V B E -dependent signal can be used as the PTAT one while the REF signal can be generated by means of a proper combination of V B E -dependent and Δ V B E -dependent contributions [48].
BJT-based TDCs are the most common ones thanks to the good intrinsic accuracy of bipolar transistors [49]; this leads to temperature sensors requiring at most one trimming point to achieve inaccuracy values which other sensing techniques implement after two trimming points or more. This feature is essential from the cost-effectiveness point of view and, together with the availability of bipolar transistors (even if parasitic) within most CMOS processes, is the reason for the great employment of these kinds of devices for on-chip temperature sensing.

2.2. MOS-Based TDCs

Another possibility for integrated temperature sensing is to rely on the thermal variations related to MOS devices; an option is to exploit the significant temperature dependence offered by the gate-to-source voltage ( V G S ) of transistors operated in the subthreshold region [29,50,51,52,53]:
V G S = V t h + n k T q l n I D I D 0
where V t h is the threshold voltage, n depends on the MOS structure and I D 0 is the drain current for V G S = V t h . Besides being directly proportional to the transistor aspect ratio ( W / L ), I D 0 increases with temperature almost parabolically giving rise to a CTAT behaviour for V G S ; in absolute value, it exhibits a slightly lower average slope (about −1.5 mV/K [49]) with respect to the previously introduced V B E slope (about −2 mV/K). Similarly to the BJT case, considering a pair of MOSFETs biased at different drain currents and/or having different aspect ratios, a PTAT signal is obtained taking the difference of their gate-to-source voltages into account.
According to the scheme and the notations of Figure 3, the Δ V G S signal can be expressed as
Δ V G S = n k T q l n ( a · b )
where a and b are the W / L and drain currents ratios, respectively. It is interesting to notice that the PTAT sensitivity offered by subthreshold operated MOS devices benefits from the presence of the n coefficient if compared to the bipolar case; considering that this technology dependent parameter is intrinsically larger than 1, for the same a and b ratios, the Δ V G S temperature sensitivity is intrinsically higher than the Δ V B E one [49]. Also in this case, a reference signal can be generated by combining V G S -dependent and Δ V G S -dependent contributions.
Another option to exploit the temperature dependence of MOS devices for on-chip sensing is to consider the propagation time ( t p ) of CMOS inverters; as shown in (12), this parameter depends on many variables such as the adopted supply voltage ( V D D ), the threshold voltage ( V t h ) and the size (W,L) of the devices constituting the inverter, the carriers mobility ( μ ), the oxide capacitance ( C o x ) and the capacitance ( C L ) of the load to be driven:
t p = f ( V D D , V t h ( T ) , μ ( T ) , W , L , C o x , C L ) .
In particular, V t h and μ are a function of the temperature that, if properly exploited, may lead to an effective sensing.
The first way to achieve a t p -based temperature to digital conversion is to rely on a delay line [29,30,54,55,56,57] as shown in Figure 4a. A clock signal running at a reference frequency ( f r e f ) is passed through a delay line composed by N inverters and is compared with an undelayed version of itself; this gives rise to temperature dependent time intervals which can be expressed as
Δ t ( T ) = N · t p ( T ) ,
and which are processed by a time-to-digital converter that, hence, generates temperature dependent digital words ( D o u t ).
The second possibility, instead, is to exploit the thermal behaviour of ring oscillators [22,52,53,58,59,60,61,62,63,64] as shown in Figure 4b in which the t p temperature dependence impacts the oscillation frequency ( f o s c ) as shown by the following expression:
f o s c ( T ) = 1 2 t p ( T ) N .
The signal produced by the oscillator gets processed by a counter (clocked at f r e f ) which generates temperature dependent digital codes ( D o u t ) depending on the oscillations count. In addition to this, in 2019, new interesting MOS-based techniques were proposed, opening the doors for sub-nW TDCs design. An innovative temperature sensing principle based on the gate-leakage current of MOS devices was adopted in [65,66], resulting in an exceptionally low power consumption. The t p -based and the leakage-based approaches offer outstanding performance in terms of energy/conversion but typically exhibit poor linearity and accuracy.

2.3. Resistor-Based TDCs

Also integrated resistors exhibit a significant thermal variability that makes them suitable for on-chip temperature sensing. Considering a first order approximation, their resistance value can be expressed as
R = R 0 ( 1 + T C · Δ T ) ,
where R 0 is the resistance value at a reference temperature T 0 , TC is the temperature coefficient and
Δ T = T T 0 .
Table 1 and Table 2 report realistic TC values for some kinds of resistors in 0.18-µm and 65-nm CMOS processes, respectively.
In the last decade, three main techniques have been exploited to electronically benefit from the temperature dependence of such resistors: Wheatstone bridges, RC filters and Wien-bridge filters. Figure 5 illustrates the basic schemes of these sensing possibilities.
TDCs based on Wheatstone bridges [28,31,69,70,71,72] typically rely on the combined effect of a positive TC resistor ( R p ) and of a negative TC one ( R n ).
R p = R 0 ( 1 + α Δ T ) , α > 0 ,
R n = R 0 ( 1 + β Δ T ) , β < 0 .
According to Figure 5a, temperature information is contained in the V s i g voltage, which can be expressed as
V s i g = R p R n R p + R n · V D D = R 0 ( 1 + α Δ T ) R 0 ( 1 + β Δ T ) R 0 ( 1 + α Δ T ) + R 0 ( 1 + β Δ T ) · V D D = ( α β ) Δ T 2 + ( α + β ) Δ T · V D D .
Figure 6 shows V s i g as a function of temperature for several ( | α | ; | β | ) combinations in a symmetrical 100 K Δ T range; to maximize the Wheatstone bridge temperature sensitivity, the | β | / | α | ratio should be selected as high as possible according to the resistor availability of the adopted technology. On the other hand, as pointed out by Table 3 and as can be easily derived from (19), the linearity of the thermal response degrades moving away from the | α | = | β | optimal case (it is important to mention that the reported considerations do not take any second or higher order contribution to the resistance temperature variability into account).
TDCs based on RC [68,73,74] and Wien-bridge [67,75,76,77,78] filters, instead, take advantage of the temperature variations of their transfer functions; in both cases, as can be deduced from Figure 5b,c, the temperature dependence of the employed resistors causes an alteration of their phase response that can be exploited to achieve the desired temperature-to-digital conversion; this is achieved by driving the considered structures with signals oscillating close to the fundamental frequency of the filters ( ω 0 = 1 / R ( T ) C in both cases) at room temperature and processing their output by means of appropriate phase-to-digital conversion circuits. Given the RC transfer function,
H ( j ω ) = 1 1 + j ω R ( T ) C ,
its temperature-dependent phase shift can be expressed as
ϕ ( j ω ) = arctan ω R ( T ) C .
Figure 7a shows the RC phase response for different resistance values in the ± 20 % range where the selected colors conceptually refer to a positive TC resistor (the warmer the color, the higher the temperature); since the most effective temperature phase impact occurs at ω = ω 0 , Figure 7b reports the phase shift generated by the RC filter as a function of the resistance variation with respect to the room temperature value ( R 0 ).
The Wien-bridge transfer function is instead given by
H W B ( j ω ) = j ω R ( T ) C 1 ω 2 R 2 ( T ) C 2 + 3 j ω R ( T ) C ,
and its temperature-dependent phase shift can be expressed as
ϕ W B ( j ω ) = arctan ω 2 R 2 ( T ) C 2 1 3 ω R ( T ) C .
In keeping with the graphs reported for the RC case, Figure 8a shows the Wien-bridge phase response for the same resistance value variation range while Figure 8b reports the resulting phase shift at ω 0 .
For the same resistance variation and capacitor value (C), the Wien-bridge filter achieves a better phase sensitivity to temperature and linearity if compared to the RC one at the cost of a double occupied area; considering that, typically, the size of the filter is not the limiting element in the TDC area breakdown, Wien-bridge filters are the preferred choice over RC ones.
As will be addressed in Section 3.4, the TDCs exploiting the presented resistor-based temperature sensing techniques are undoubtedly the best in class from the energy efficiency point of view but typically are less accurate than BJT-based solutions and more power hungry than MOS-based solutions.

2.4. TD-Based TDCs

The last considered category is that of thermal diffusivity TDCs [79,80,81,82,83,84]. These on-chip sensors exploit measurements of the thermal diffusivity of silicon ( D S i ) which exhibits a considerable temperature dependence and, moreover, does not suffer from process spread variations. This quantity can be sensed by means of the electrothermal filter (ETF) shown in Figure 9.
A heater that can be realized by a diffusion resistor is driven by a square wave (at a f d r i v e frequency) and, consequently, generates heat pulses which diffuse to a neighboring thermopile placed at a distance s; these pulses are affected by a delay and by an attenuation which are determined by D S i which, in turn, is a function of the temperature ( T 1.8 ) [81]. For this reason, the phase of the voltage sensed by the thermopile ( V s e n s e ) is sensitive to temperature and, according to [84], can be expressed as
ϕ E T F ( T ) = s · f d r i v e 2 D S i ( T ) .
With similar phase-to-digital conversion solutions as the ones needed for the previously introduced RC-based and Wien-bridge-based TDCs, ϕ E T F can be digitized, thus generating temperature dependent digital codes. The major drawback of this kind of sensing technique is the large amount of power (>1 mW) burnt to drive the heater: its energy inefficiency makes it unsuitable for the majority of battery-powered applications. Nevertheless, TD-based TDCs offer a really remarkable accuracy performance, especially considering that, in many cases, no trimming procedure is required; this aspect will be further explored in Section 3.2.

3. State-of-the-Art Review and Design Trends

Over the past two decades, more than 150 TDC works have been published, each of which can be assigned to one of the four categories introduced in Section 2. A really valuable survey [85] that keeps track of all these works has been made available by prof. Makinwa from TU Delft and has been adopted as dataset for all the following analysis and considerations. The time evolution and the performance peculiarities of the four considered TDC types are investigated in the next subsections, each addressing a primary parameter of interest of TDCs: resolution (Section 3.1), inaccuracy (Section 3.2), conversion energy (Section 3.3), energy efficiency (Section 3.4) and silicon area (Section 3.5). All the reported trend-lines have been produced by a log-scale adapted smoothing spline method based on the geometric mean of the considered parameter values for each year.

3.1. Resolution

As introduced in Section 2, the resolution of a TDC is the minimum temperature difference that can correctly be detected; it is a function of the intrinsic quantization noise of the ADC used to perform the temperature-to-digital conversion, of the amount of electronic noise that affects the TDC output and of the DBE processing type.
Figure 10 reports the resolution of the considered works as a function of the publication year for all of the four studied categories of sensors; it can be noticed that the resolution performance of TDCs is basically trend-less since its requirements are strongly application-dependent: the resolution specification is of prime importance in the cases in which the sensing goal is to precisely detect temperature variations but a moderate value can be acceptable in the case of accuracy-oriented designs, in favour of a conversion energy saving. In addition to this, it can be observed that the first examples of resistor-based TDCs have been introduced just starting from 2010 and, a few years later, a series of high resolution works exploiting this sensing approach has been proposed, actually showing their greater potential regarding the resolution parameter. This feature can be further appreciated, considering Figure 11; the resolution of each item shown in Figure 10 has been collected to build a bar plot organized on the basis of five decades: maintaining the sensing-type distinction, it provides an overview of how the resolution performance of all the considered works is distributed, confirming the advantage of resistor-based TDCs. It should be taken into account that, in principle, resolution can always be improved by increasing the DBE OSR at the cost of a higher conversion time (1) and that, therefore, the performance limitation of the other kinds of sensing approaches is actually related to their worse energy efficiency, a parameter that will be addressed in detail in Section 3.4.

3.2. Inaccuracy

In the same vein of what was presented for resolution, Figure 12 shows the relative inaccuracy, defined in (3), as a function of the publication year for the TDCs surveyed in [85]. Also in this case, a trend-less behaviour can be noticed, once again because of the application-dependency of the accuracy specification of TDCs. For example, the ones designed for clinical applications require absolute inaccuracy values on the order of ±0.1 °C, while the ones used to track the temperature status of microprocessors or to compensate for the thermal drift in MEMS resonators typically require an inaccuracy of about ±1 °C or even worse.
In order to evaluate the accuracy performance potential of the four considered sensing techniques, it is of paramount importance to take the number of trimming points into account since, as a rule of thumb, the transition to the 1-point trimming condition from the untrimmed one typically provides a benefit of at least a factor two to the accuracy of the sensor, while the addition of a trimming point at a second temperature generally improves the TDC accuracy of at least an extra factor four. For this reason, the inaccuracy bar plot, analogous to the resolution one of Figure 11, has been split into three plots: Figure 13 addresses the untrimmed works, Figure 14 focuses on the TDCs with a single-temperature trimming, while Figure 15 considers the works with at least two trimming points.
It can be seen that, from the accuracy point of view, the TD-based TDCs are the best in class, followed by the BJT-based ones; they are, indeed, the only types of sensors that can achieve relatively good accuracy without the need of being trimmed (Figure 13), a huge advantage in terms of cost-effectiveness. MOS-based TDCs and resistor-based TDCs, instead, require at least one trimming point (in most cases 2-pts, Figure 15) to offer acceptable performance and therefore are undesirable for accuracy-oriented designs. On top of this, it is important to remember that, in addition to the spread due to the sensing element, inaccuracy is also determined by the spread of all the components present in the device [49] and consequently it may not be limited by the sensing technique choice but by the matching performance of the entire circuitry of the AFE and of the ADC. In this framework, a key element to take into account is the silicon area size of the TDC (addressed in Section 3.5): the smaller its active area, the tougher the achievement of acceptable accuracy values.

3.3. Conversion Energy

The growth of the IoT market and the increasing number of battery-powered systems requiring on-chip temperature sensing have induced a really strong trend when it comes to TDC conversion energy (2). This parameter, which is a full-fledged measure of the energy price to pay to achieve a single temperature-to-digital conversion, is crucial to ensure the highest battery lifetime possible or even to allow the operation of energy-harvesting-based devices such as [86], in which temperature-dependent digital codes are generated with just a few picojoules of energy. Figure 16 reports the conversion energy values of the same works analyzed in the previous subsections as a function of their publication year. In this case, a trend towards lower values is definitely visible; the TDC conversion energy exhibits a reduction of about a factor 10 every five years, a clear direction that allows for predicting the future evolution of these kinds of devices.
As reported for the resolution and the inaccuracy cases, Figure 17 shows the conversion energy performance distribution across four orders of magnitude and with the different sensing-types taken into account. It can be noticed that, undoubtedly, TD-based TDCs, due to the power consumed by the heater, require the highest conversion energy while the other three types exhibit quite similar performance. Similarly to the resolution discussion (Section 3.1), it is important to consider that, naturally, the conversion energy can be reduced by accepting a poorer temperature resolution and therefore, also in this case, the reported conversion energy values are linked to the efficiency of the different sensing techniques that will be addressed in the next subsection.

3.4. Energy Efficiency

Both Section 3.1 and Section 3.3 have introduced the resolution vs. conversion energy trade-off. The energy efficiency of a TDC is a metric of what resolution can be achieved for a given conversion energy or, on the other hand, what conversion energy is needed to achieve a target resolution. To determine what the trade space of a certain TDC is and, consequently, to determine its energy efficiency, it is useful to consider the resolution FoM introduced in (4), in which R e s is squared because it is usually limited by thermal noise and therefore, to achieve an improvement of a factor two of it, a four times larger conversion time is required and so on. Figure 18 shows the time evolution of the energy efficiency of the same considered works of the previous subsections. Three different phases can be identified: at first, approximately until 2010, there is a horizontal phase in which the novelty of such kind of integrated sensors has resulted in TDCs without the primary target of energy efficiency but simply aiming at a proper operation of the device (functionality phase). Then, from 2010 to 2019, the trend starts to bend down, taking a definite direction with an improvement of about a factor 10 every 3 years (performance phase); lastly, from 2020 onwards, a significant breaking of the trend-line can be observed, which indicates the difficulty for a further progress of the TDC energy efficiency (saturation phase).
Similarly to what has been proposed for the previously analyzed TDC parameters of interest, the bar plot of Figure 19 provides an overview of how the different kinds of considered sensing techniques are distributed in terms of energy efficiency. It is clear that, from this point of view, the best performing sensors are the resistor-based ones; BJT-based and MOS-based TDCs offer quite similar performance while, as previously introduced, TD-based TDCs are the most energy-inefficient ones.

3.5. Silicon Area

Finally, the occupied silicon area of the considered TDC works is taken into account; still bearing in mind that it usually offers a direct trade-off with the temperature sensing accuracy performance, the compactness of the TDC is a fundamental requirement considering a production cost minimization perspective. Accordingly, in the last two decades, the size reduction trend has been pretty significant and is shown in Figure 20: it can be observed that the silicon areas of the oldest reported works in the range of 1 mm 2 have progressively given way to designs featuring active areas reaching a few hundred of µm 2 .
Once more, Figure 21 shows how the considered TDCs are distributed in terms of active area and sensing-type. In this case, as will become clearer in the wrap-up proposed in Section 4, the sensors that, on average, offer the best compactness are the MOS-based ones, followed by the TD-based ones; resistor-based and BJT-based devices, even if there are exceptional cases as [87] or [28], generally require a larger area.

4. Conclusions

This paper reviewed the TDCs State-of-the-Art, initially browsing the main on-chip temperature sensing techniques (Section 2) and then highlighting the most significant trends and trade-offs (Section 3).
To summarize the proposed considerations, Table 4 reports performance indicators for each of the four studied sensing techniques and for each of the parameters of interest previously analyzed, with inaccuracy differentiated according to the number of adopted trimming points. For every entry, the geometric mean of the corresponding values of the TDC works discussed in Section 3 has been computed and considered as a meaningful indicator being based on two decades of research activity. For each parameter, the best indicator has been highlighted in green so that the most attractive features of each sensing category could be easily identified; it is interesting to note that, on the basis of a TDC design specifications, each of the sensing techniques could be the optimal choice. Indeed, BJT-based sensors exhibit the best 1-pt trimmed inaccuracy indicator, MOS-based sensors have the lowest conversion energy one and offer the highest degree of compactness, resistor-based sensors feature the best resolution, energy efficiency and accuracy after at least 2 trimming points, while TD-based sensors exhibit the lowest untrimmed inaccuracy.
Starting from the results collected in Table 4, it has been possible to build a spider chart (Figure 22) to provide a graphical representation of the considerations presented in this work to intuitively and immediately figure out the strengths and the weaknesses of the different categories of TDCs.
To effectively design the spider chart, all the values reported in Table 4 have been normalized with respect to the best one for each parameter of interest (the relative inaccuracy values have been merged according to the coefficients of the rule of thumb introduced in Section 3.2); then, considering that all the parameters are of the lower-is-better kind, they have been converted to a higher-is-better mode with a simple inversion and, finally, have been plotted adopting log-scaled axes to make differences of orders of magnitude still appreciable. Given the extent of the corresponding pentagon, the chart clearly illustrates how promising resistor-based TDCs are and motivates the high number of works exploiting this sensing technique published in the last four years as shown in Section 3. Nevertheless, these kinds of TDCs have considerable linearity issues and, in most cases [28,31,67,68,70,71,72,76,77,78], the employment of nonlinearity polynomial error correction techniques is mandatory; this limit, considering that linearity is a crucial parameter for example in MEMS thermal drift compensation applications, may guide the sensing-type choice to the presented alternatives.
In conclusion, the message is that, since each TDC type excels in a different parameter of interest, the sensing technique should be definitely selected on the basis of the requirements of the specific application for which the TDC is designed for; there is no a priori winner. Finally, the feeling resulting from this review is that the research interest in this field will remain strong in the next several years thanks to a constant need for on-chip temperature sensing in a wide variety of applications and to inherent increasingly challenging requirements.

Author Contributions

Conceptualization, A.A., E.B. and P.M.; investigation, A.A.; data curation, A.A.; writing—original draft preparation, A.A.; writing—review and editing, E.B. and P.M.; supervision, E.B. and P.M. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Data supporting the reported results can be found at [85].

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
ADCAnalog-to-Digital Converter
AFEAnalog Front-End
BJTBipolar Junction Transistor
CMOSComplementary Metal-Oxide-Semiconductor
CTATComplementary-To-Absolute-Temperature
DBEDigital-Back-End
DSPDigital Signal Processing
DVFSDynamic Voltage and Frequency Scaling
ETFElectroThermal Filter
FoMFigure of Merit
IoTInternet of Things
MEMSMicro-Electro-Mechanical System
MOSFETMetal-Oxide-Semiconductor Field-Effect Transistor
OSROverSampling Ratio
PTATProportional-To-Absolute-Temperature
REFREFerence
RESRESistor
RFIDRadio-Frequency IDentification
SARSuccessive Approximation Register
S/HSample and Hold
TCTemperature Coefficient
TDThermal Diffusivity
TDCTemperature-to-Digital Converter
ZTCZero-Temperature-Coefficient

References

  1. Rupp, K. 42 Years of Microprocessor Trend Data. Available online: www.karlrupp.net/2018/02/42-years-of-microprocessor-trend-data (accessed on 5 October 2022).
  2. Sheikh, H.F.; Ahmad, I.; Wang, Z.; Ranka, S. An overview and classification of thermal-aware scheduling techniques for multi-core processing systems. Sustain. Comput. Inform. Syst. 2012, 2, 151–169. [Google Scholar] [CrossRef]
  3. Shor, J.S.; Luria, K. Miniaturized BJT-Based Thermal Sensor for Microprocessors in 32- and 22-nm Technologies. IEEE J. Solid-State Circuits 2013, 48, 2860–2867. [Google Scholar] [CrossRef]
  4. Oshita, T.; Shor, J.S.; Duarte, D.E.; Kornfeld, A.; Zilberman, D. Compact BJT-Based Thermal Sensor for Processor Applications in a 14 nm tri-Gate CMOS Process. IEEE J. Solid-State Circuits 2015, 50, 799–807. [Google Scholar] [CrossRef]
  5. Bass, O.; Shor, J. A Miniaturized 0.003 mm2 PNP-Based Thermal Sensor for Dense CPU Thermal Monitoring. IEEE Trans. Circuits Syst. I: Regul. Pap. 2020, 67, 2984–2992. [Google Scholar] [CrossRef]
  6. Yanazawa, H.; Homma, K. Growing market of MEMS and technology development in process and tools specialized to MEMS. In Proceedings of the 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM), Toyama, Japan, 28 February–2 March 2017; pp. 143–144. [Google Scholar]
  7. Chen, L.T.; Lee, C.Y.; Cheng, W.H. MEMS-based humidity sensor with integrated temperature compensation mechanism. Sens. Actuators A Phys. 2008, 147, 522–528. [Google Scholar] [CrossRef]
  8. Salvia, J.C.; Melamud, R.; Chandorkar, S.A.; Lord, S.F.; Kenny, T.W. Real-Time Temperature Compensation of MEMS Oscillators Using an Integrated Micro-Oven and a Phase-Locked Loop. J. Microelectromechanical Syst. 2010, 19, 192–201. [Google Scholar] [CrossRef]
  9. Zotov, S.A.; Simon, B.R.; Trusov, A.A.; Shkel, A.M. High Quality Factor Resonant MEMS Accelerometer with Continuous Thermal Compensation. IEEE Sens. J. 2015, 15, 5045–5052. [Google Scholar] [CrossRef]
  10. Zaliasl, S.; Salvia, J.C.; Hill, G.C.; Chen, L.; Joo, K.; Palwai, R.; Arumugam, N.; Phadke, M.; Mukherjee, S.; Lee, H.C.; et al. A 3 ppm 1.5 × 0.8 mm² 1.0 µA 32.768 kHz MEMS-Based Oscillator. IEEE J. Solid-State Circuits 2015, 50, 291–302. [Google Scholar] [CrossRef] [Green Version]
  11. Aprile, A.; Folz, M.; Gardino, D.; Malcovati, P.; Bonizzoni, E. A Compact 2.5-nJ Energy/Conversion NPN-Based Temperature-to-Digital Converter with a Fully Current-Mode Processing Architecture. In Proceedings of the 48th European Solid-State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 473–476. [Google Scholar]
  12. Vaz, A.; Ubarretxena, A.; Zalbide, I.; Pardo, D.; Solar, H.; Garcia-Alonso, A.; Berenguer, R. Full Passive UHF Tag with a Temperature Sensor Suitable for Human Body Temperature Monitoring. IEEE Trans. Circuits Syst. II Express Briefs 2010, 57, 95–99. [Google Scholar] [CrossRef]
  13. Law, M.K.; Lu, S.; Wu, T.; Bermak, A.; Mak, P.; Martins, R.P. A 1.1 µW CMOS Smart Temperature Sensor with an Inaccuracy of ±0.2 °C (3σ) for Clinical Temperature Monitoring. IEEE Sens. J. 2016, 16, 2272–2281. [Google Scholar]
  14. Pan, S.; Makinwa, K.A.A. A 6.6-µW Wheatstone-Bridge Temperature Sensor for Biomedical Applications. IEEE Solid-State Circuits Lett. 2020, 3, 334–337. [Google Scholar] [CrossRef]
  15. Law, M.K.; Bermak, A.; Luong, H.C. A Sub-µW Embedded CMOS Temperature Sensor for RFID Food Monitoring Application. IEEE J. Solid-State Circuits 2010, 45, 1246–1255. [Google Scholar] [CrossRef]
  16. Wang, B.; Law, M.K.; Bermak, A.; Luong, H.C. A Passive RFID Tag Embedded Temperature Sensor with Improved Process Spreads Immunity for a −30 °C to 60 °C Sensing Range. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 337–346. [Google Scholar] [CrossRef]
  17. Cho, N.; Song, S.J.; Kim, S.; Kim, S.; Yoo, H.J. A 5.1-µW UHF RFID tag chip integrated with sensors for wireless environmental monitoring. In Proceedings of the 31st European Solid-State Circuits Conference (ESSCIRC), Grenoble, France, 12–16 September 2005; pp. 279–282. [Google Scholar]
  18. Shen, H.; Li, L.; Zhou, Y. Fully integrated passive UHF RFID tag with temperature sensor for environment monitoring. In Proceedings of the 7th International Conference on ASIC (ASICON), Guilin, China, 22–25 October 2007; pp. 360–363. [Google Scholar]
  19. Yin, J.; Yi, J.; Law, M.K.; Ling, Y.; Lee, M.C.; Ng, K.P.; Gao, B.; Luong, H.C.; Bermak, A.; Chan, M.; et al. A System-on-Chip EPC Gen-2 Passive UHF RFID Tag with Embedded Temperature Sensor. IEEE J. Solid-State Circuits 2010, 45, 2404–2420. [Google Scholar]
  20. Opasjumruskit, K.; Thanthipwan, T.; Sathusen, O.; Sirinamarattana, P.; Gadmanee, P.; Pootarapan, E.; Wongkomet, N.; Thanachayanont, A.; Thamsirianunt, M. Self-powered wireless temperature sensors exploit RFID technology. IEEE Pervasive Comput. 2006, 5, 54–61. [Google Scholar] [CrossRef]
  21. Bakker, A. CMOS smart temperature sensors—An overview. IEEE Sens. J. 2002, 2, 1423–1427. [Google Scholar]
  22. Li, J.; Lin, Y.; Ning, N.; Yu, Q. A +0.44 °C/−0.4 °C Inaccuracy Temperature Sensor with Multi-Threshold MOSFET-Based Sensing Element and CMOS Thyristor-Based VCO. IEEE Trans. Circuits Syst. I Regul. Pap. 2021, 68, 1102–1113. [Google Scholar] [CrossRef]
  23. Aprile, A.; Folz, M.; Gardino, D.; Malcovati, P.; Bonizzoni, E. A One-Point Exponential Trimming Technique for an Effective Suppression of Process Spread in BJT-based Temperature Processing Circuits. In Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 28 May–1 June 2022; pp. 881–884. [Google Scholar]
  24. Makinwa, K.A.A. Smart temperature sensors in standard CMOS. Procedia Eng. 2010, 5, 930–939. [Google Scholar] [CrossRef] [Green Version]
  25. Aprile, A.; Moisello, E.; Bonizzoni, E.; Malcovati, P. An Extensive Investigation and Analysis of Temperature-to-Digital Converter FoMs. In Proceedings of the 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Dubai, United Arab Emirates, 28 November–1 December 2021; pp. 1–4. [Google Scholar]
  26. Zjajo, A.; Barragan, M.J.; de Gyvez, J.P. Low-Power Die-Level Process Variation and Temperature Monitors for Yield Analysis and Optimization in Deep-Submicron CMOS. IEEE Trans. Instrum. Meas. 2012, 61, 2212–2221. [Google Scholar] [CrossRef]
  27. Shim, D.; Jeong, H.; Lee, H.; Rhee, C.; Jeong, D.K.; Kim, S. A Process-Variation-Tolerant On-Chip CMOS Thermometer for Auto Temperature Compensated Self-Refresh of Low-Power Mobile DRAM. IEEE J. Solid-State Circuits 2013, 48, 2550–2557. [Google Scholar] [CrossRef]
  28. Pelzers, K.; Xin, H.; Cantatore, E.; Harpe, P. A 2.18-pJ/conversion, 1656-µm2 Temperature Sensor with a 0.61-pJ·K2 FoM and 52-pW Stand-By Power. IEEE Solid-State Circuits Lett. 2020, 3, 82–85. [Google Scholar] [CrossRef]
  29. Chen, P.; Hu, Y.; Liou, J.; Ren, B. A 486 kS/s CMOS Time-Domain Smart Temperature Sensor with −0.85 °C/0.78 °C Voltage-Calibrated Error. In Proceedings of the 2015 IEEE International Symposium on Circuits and Systems (ISCAS), Lisbon, Portugal, 24–27 May 2015; pp. 2109–2112. [Google Scholar]
  30. Chen, P.; Chen, C.C.; Peng, Y.H.; Wang, K.M.; Wang, Y.S. A Time-Domain SAR Smart Temperature Sensor with Curvature Compensation and a 3σ Inaccuracy of −0.4 °C ∼ +0.6 °C Over a 0 °C to 90 °C Range. IEEE J. Solid-State Circuits 2010, 45, 600–609. [Google Scholar] [CrossRef]
  31. Park, H.; Kim, J. A 0.8-V Resistor-Based Temperature Sensor in 65-nm CMOS with Supply Sensitivity of 0.28 °C/V. IEEE J. Solid-State Circuits 2018, 53, 906–912. [Google Scholar] [CrossRef]
  32. Sebastiano, F.; Breems, L.J.; Makinwa, K.A.A.; Drago, S.; Leenaerts, D.M.W.; Nauta, B. A 1.2 V 10 µW NPN-Based Temperature Sensor in 65nm CMOS with an Inaccuracy of ±0.2 °C (3σ) from −70 °C to 125 °C. In Proceedings of the 2010 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 7–11 February 2010; pp. 312–313. [Google Scholar]
  33. Souri, K.; Makinwa, K.A.A. A 0.12 mm2 7.4 µW Micropower Temperature Sensor with an Inaccuracy of ±0.2 °C (3σ) from −30 °C to 125 °C. IEEE J. Solid-State Circuits 2011, 46, 1693–1700. [Google Scholar] [CrossRef]
  34. Souri, K.; Souri, K.; Makinwa, K.A.A. A 40 µW CMOS Temperature Sensor with an Inaccuracy of ±0.4 °C (3σ) from −55 °C to 200 °C. In Proceedings of the 39th European Solid-State Circuits Conference (ESSCIRC), Bucharest, Romania, 16–20 September 2013; pp. 221–224. [Google Scholar]
  35. Eitan, R.; Cohen, A. Untrimmed Low-Power Thermal Sensor for SoC in 22 nm Digital Fabrication Technology. J. Low Power Electron. Appl. 2014, 4, 304–316. [Google Scholar] [CrossRef] [Green Version]
  36. Heidary, A.; Wang, G.; Makinwa, K.A.A.; Meijer, G. A BJT-based CMOS Temperature Sensor with a 3.6 pJ·K2-Resolution FoM. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 9–13 February 2014; pp. 224–225. [Google Scholar]
  37. Deng, C.; Sheng, Y.; Wang, S.; Hu, W.; Diao, S.; Qian, D. A CMOS Smart Temperature Sensor with Single-Point Calibration Method for Clinical Use. IEEE Trans. Circuits Syst. II Express Briefs 2016, 63, 136–140. [Google Scholar] [CrossRef]
  38. Eberlein, M.; Yahav, I. A 28nm CMOS Ultra-Compact Thermal Sensor in Current-Mode Technique. In Proceedings of the 2016 Symposium on VLSI Circuits (VLSI Circuits), Honolulu, HI, USA, 15–17 June 2016; pp. 1–2. [Google Scholar]
  39. Shalmany, S.H.; Draxelmayr, D.; Makinwa, K.A.A. A ±5 A Integrated Current-Sensing System with ±0.3% Gain Error and 16 µA Offset from −55 °C to +85 °C. IEEE J. Solid-State Circuits 2016, 51, 800–808. [Google Scholar]
  40. Hsu, Y.C.; Tai, C.L.; Chuang, M.C.; Roth, A.; Soenen, E. An 18.75 µW Dynamic-Distributing-Bias Temperature Sensor with 0.87°C (3σ) Untrimmed Inaccuracy and 0.00946 mm2 Area. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 5–9 February 2017; pp. 102–103. [Google Scholar]
  41. Yousefzadeh, B.; Shalmany, S.H.; Makinwa, K.A.A. A BJT-Based Temperature-to-Digital Converter with ±60 mK (3σ) Inaccuracy from −55 °C to +125 °C in 0.16-µm CMOS. IEEE J. Solid-State Circuits 2017, 52, 1044–1052. [Google Scholar] [CrossRef] [Green Version]
  42. Tang, Z.; Fang, Y.; Yu, X.P.; Shi, Z.; Tan, N. A CMOS Temperature Sensor with Versatile Readout Scheme and High Accuracy for Multi-Sensor Systems. IEEE Trans. Circuits Syst. I: Regul. Pap. 2018, 65, 3821–3829. [Google Scholar] [CrossRef]
  43. Wang, B.; Law, M.K.; Tsui, C.Y.; Bermak, A. A 10.6 pJ·K2 Resolution FoM Temperature Sensor Using Astable Multivibrator. IEEE Trans. Circuits Syst. II Express Briefs 2018, 65, 869–873. [Google Scholar] [CrossRef]
  44. Tang, Z.; Fang, Y.; Huang, Z.; Yu, X.P.; Shi, Z.; Tan, N.N. An Untrimmed BJT-Based Temperature Sensor with Dynamic Current-Gain Compensation in 55-nm CMOS Process. IEEE Trans. Circuits Syst. II Express Briefs 2019, 66, 1613–1617. [Google Scholar] [CrossRef]
  45. Shalmany, S.H.; Souri, K.; Sönmez, U.; Souri, K.; D’Urbino, M.; Hussaini, S.; Tauro, D.; Tabatabaei, S. A 620µW BJT-Based Temperature-to-Digital Converter with 0.65mK Resolution and FoM of 190fJ·K2. In Proceedings of the 2020 IEEE International Solid- State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 70–72. [Google Scholar]
  46. Yousefzadeh, B.; Makinwa, K.A.A. A BJT-Based Temperature-to-Digital Converter with a ±0.25°C 3σ-Inaccuracy from −40 °C to +180 °C Using Heater-Assisted Voltage Calibration. IEEE J. Solid-State Circuits 2020, 55, 369–377. [Google Scholar] [CrossRef]
  47. Huang, Z.; Tang, Z.; Yu, X.P.; Shi, Z.; Lin, L.; Tan, N.N. A BJT-Based CMOS Temperature Sensor with Duty-Cycle-Modulated Output and ±0.5 °C (3σ) Inaccuracy from −40 °C to 125 °C. IEEE Trans. Circuits Syst. II Express Briefs 2021, 68, 2780–2784. [Google Scholar] [CrossRef]
  48. Aprile, A.; Gardino, D.; Malcovati, P.; Bonizzoni, E. Linearity Boosting Technique Analysis for a Modified Current-Mode Bandgap Reference. In Proceedings of the 27th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Glasgow, UK, 23–25 November 2020; pp. 1–4. [Google Scholar]
  49. Aprile, A.; Moisello, E.; Bonizzoni, E.; Malcovati, P. Performance Comparison of BJT and MOS Devices as Temperature Sensing Elements. In Proceedings of the 29th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Glasgow, UK, 24–26 October 2022; pp. 1–4. [Google Scholar]
  50. Souri, K.; Chae, Y.; Ponomarev, Y.; Makinwa, K.A.A. A Precision DTMOST-based Temperature Sensor. In Proceedings of the 37th European Solid-State Circuits Conference (ESSCIRC), Helsinki, Finland, 12–16 September 2011; pp. 279–282. [Google Scholar]
  51. Lee, S.C.; Chiueh, H. A 69 µW CMOS Smart Temperature Sensor with an Inaccuracy of ±0.8 °C (3σ) from −50 °C to 150 °C. In Proceedings of the 2012 SENSORS Conference, Taipei, Taiwan, 28–31 October 2012; pp. 1–4. [Google Scholar]
  52. Someya, T.; Islam, A.K.M.M.; Sakurai, T.; Takamiya, M. An 11-nW CMOS Temperature-to-Digital Converter Utilizing Sub-Threshold Current at Sub-Thermal Drain Voltage. IEEE J. Solid-State Circuits 2019, 54, 613–622. [Google Scholar] [CrossRef]
  53. Someya, T.; Islam, A.K.M.M.; Okada, K. A 6.4 nW 1.7% Relative Inaccuracy CMOS Temperature Sensor Utilizing Sub-Thermal Drain Voltage Stabilization and Frequency-Locked Loop. IEEE Solid-State Circuits Lett. 2020, 3, 458–461. [Google Scholar] [CrossRef]
  54. Chen, P.; Chen, T.K.; Wang, Y.S.; Chen, C.C. A Time-Domain Sub-Micro Watt Temperature Sensor with Digital Set-Point Programming. IEEE Sens. J. 2009, 9, 1639–1646. [Google Scholar] [CrossRef]
  55. Chen, P.; Chen, S.C.; Shen, Y.S.; Peng, Y.J. All-Digital Time-Domain Smart Temperature Sensor with an Inter-Batch Inaccuracy of −0.7 °C–+0.6 °C After One-Point Calibration. IEEE Trans. Circuits Syst. I: Regul. Pap. 2011, 68, 913–920. [Google Scholar] [CrossRef]
  56. Ha, D.; Woo, K.; Meninger, S.; Xanthopoulos, T.; Crain, E.; Ham, D. Time-Domain CMOS Temperature Sensors with Dual Delay-Locked Loops for Microprocessor Thermal Monitoring. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2012, 20, 1590–1601. [Google Scholar] [CrossRef]
  57. Song, W.; Lee, J.; Cho, N.; Burm, J. An Ultralow Power Time-Domain Temperature Sensor with Time-Domain Delta–Sigma TDC. IEEE Trans. Circuits Syst. II Express Briefs 2017, 64, 1117–1121. [Google Scholar] [CrossRef]
  58. Ueno, K.; Asai, T.; Amemiya, Y. Low-power temperature-to-frequency converter consisting of subthreshold CMOS circuits for integrated smart temperature sensors. Sens. Actuators A Phys. 2011, 165, 132–137. [Google Scholar] [CrossRef]
  59. Hwang, S.; Koo, J.; Kim, K.; Lee, H.; Kim, C. A 0.008 mm2 500 µW 469 kS/s Frequency-to-Digital Converter Based CMOS Temperature Sensor with Process Variation Compensation. IEEE Trans. Circuits Syst. I Regul. Pap. 2013, 60, 2241–2248. [Google Scholar] [CrossRef]
  60. Jeong, S.; Foo, Z.; Lee, Y.; Sim, J.Y.; Blaauw, D.; Sylvester, D. A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes. IEEE J. Solid-State Circuits 2014, 49, 1682–1693. [Google Scholar] [CrossRef]
  61. Anand, T.; Makinwa, K.A.A.; Hanumolu, P.K. A Self-referenced VCO-based Temperature Sensor with 0.034 °C/mV Supply Sensitivity in 65nm CMOS. In Proceedings of the 2015 Symposium on VLSI Circuits (VLSI Circuits), Kyoto, Japan, 17–19 June 2015; pp. C200–C201. [Google Scholar]
  62. Kim, Y.; Choi, W.; Kim, J.; Lee, S.; Lee, S.; Kim, H.; Makinwa, K.A.A.; Chae, Y.; Kim, T.W. A 0.02 mm2 Embedded Temperature Sensor with ±2°C Inaccuracy for Self-Refresh Control in 25nm Mobile DRAM. In Proceedings of the 41st European Solid-State Circuits Conference (ESSCIRC), Graz, Austria, 14–18 September 2015; pp. 267–270. [Google Scholar]
  63. Yang, K.; Dong, Q.; Jung, W.; Zhang, Y.; Choi, M.; Blaauw, D.; Sylvester, D. A 0.6 nJ −0.22/+0.19 °C Inaccuracy Temperature Sensor Using Exponential Subthreshold Oscillation Dependence. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 5–9 February 2017; pp. 160–161. [Google Scholar]
  64. Cochet, M.; Keller, B.; Clerc, S.; Abouzeid, F.; Cathelin, A.; Autran, J.L.; Roche, P.; Nikolić, B. A 225 µm2 Probe Single-Point Calibration Digital Temperature Sensor Using Body-Bias Adjustment in 28 nm FD-SOI CMOS. IEEE Solid-State Circuits Lett. 2018, 1, 14–17. [Google Scholar] [CrossRef]
  65. Truesdell, D.S.; Calhoun, B.H. A 640 pW 22 pJ/sample Gate Leakage-Based Digital CMOS Temperature Sensor with 0.25 °C Resolution. In Proceedings of the 2019 IEEE Custom Integrated Circuits Conference (CICC), Austin, TX, USA, 14–17 April 2019; pp. 1–4. [Google Scholar]
  66. Wang, H.; Mercier, P.P. A 763 pW 230 pJ/Conversion Fully Integrated CMOS Temperature-to-Digital Converter with +0.81 °C/−0.75 °C Inaccuracy. IEEE J. Solid-State Circuits 2019, 54, 2281–2290. [Google Scholar] [CrossRef]
  67. Shahmohammadi, M.; Souri, K.; Makinwa, K.A.A. A Resistor-Based Temperature Sensor for MEMS Frequency References. In Proceedings of the 39th European Solid State Circuits Conference (ESSCIRC), Bucharest, Romania, 16–20 September 2013; pp. 225–228. [Google Scholar]
  68. Wang, A.; Chen, C.; Liu, C.; Shi, C.J.R. A 9-Bit Resistor-Based Highly Digital Temperature Sensor with a SAR-Quantization Embedded Differential Low-Pass Filter in 65-nm CMOS with a 2.5-µs Conversion Time. IEEE Sens. J. 2019, 19, 7215–7225. [Google Scholar] [CrossRef]
  69. Xin, H.; Andraud, M.; Baltus, P.; Cantatore, E.; Harpe, P. A 174 pW–488.3 nW 1 S/s–100 kS/s All-Dynamic Resistive Temperature Sensor with Speed/Resolution/Resistance Adaptability. IEEE Solid-State Circuits Lett. 2018, 1, 70–73. [Google Scholar] [CrossRef]
  70. Pan, S.; Makinwa, K.A.A. A 0.25 mm2-Resistor-Based Temperature Sensor with an Inaccuracy of 0.12 °C (3σ) from −55 °C to 125 °C. IEEE J. Solid-State Circuits 2018, 53, 3347–3355. [Google Scholar] [CrossRef] [Green Version]
  71. Pan, S.; Makinwa, K.A.A. A 10 fJ·K2 Wheatstone Bridge Temperature Sensor with a Tail-Resistor-Linearized OTA. IEEE J. Solid-State Circuits 2021, 56, 501–510. [Google Scholar] [CrossRef]
  72. Jain, A.; Jiang, H.; Pochet, C.; Hall, D.A. A 310 nW Temperature Sensor Achieving 9.8 mK Resolution Using a DFLL-Based Readout Circuit. IEEE Trans. Circuits Syst. II Express Briefs 2022, 69, 704–708. [Google Scholar] [CrossRef]
  73. Mordakhay, A.; Shor, J. Miniaturized, 0.01 mm2, Resistor-Based Thermal Sensor with an Energy Consumption of 0.9 nJ and a Conversion Time of 80 µs for Processor Applications. IEEE J. Solid-State Circuits 2018, 53, 2958–2969. [Google Scholar] [CrossRef]
  74. Ku, H.S.; Choi, S.; Sim, J.Y. A 12µs-Conversion, 20mK-Resolution Temperature Sensor Based on SAR ADC. IEEE Trans. Circuits Syst. II Express Briefs 2022, 69, 789–793. [Google Scholar]
  75. Park, P.; Makinwa, K.A.A.; Ruffieux, D. A Resistor-Based Temperature Sensor for a Real Time Clock with ±2 ppm Frequency Stability. In Proceedings of the 40th European Solid State Circuits Conference (ESSCIRC), Venice Lido, Italy, 22–26 September 2014; pp. 391–394. [Google Scholar]
  76. Pan, S.; Luo, Y.; Shalmany, S.H.; Makinwa, K.A.A. A Resistor-Based Temperature Sensor with a 0.13 pJ·K2 Resolution FoM. IEEE J. Solid-State Circuits 2018, 53, 164–173. [Google Scholar] [CrossRef] [Green Version]
  77. Pan, S.; Gürleyük, Ç.; Pimenta, M.F.; Makinwa, K.A.A. A 0.12 mm2 Wien-Bridge Temperature Sensor with 0.1 °C (3σ) Inaccuracy from −40 °C to 180 °C. In Proceedings of the 2019 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 17–21 February 2019; pp. 184–186. [Google Scholar]
  78. Angevare, J.A.; Makinwa, K.A.A. A 6800-µm2 Resistor-Based Temperature Sensor with ±0.35 °C (3σ) Inaccuracy in 180-nm CMOS. IEEE J. Solid-State Circuits 2019, 54, 2649–2657. [Google Scholar] [CrossRef] [Green Version]
  79. Xia, S.; Makinwa, K.A.A. Design of an Optimized Electrothermal Filter for a Temperature-to-Frequency Converter. In Proceedings of the 2007 SENSORS Conference, Atlanta, GA, USA, 28–31 October 2007; pp. 1255–1258. [Google Scholar]
  80. Kashmiri, S.M.; Xia, S.; Makinwa, K.A.A. A Temperature-to-Digital Converter Based on an Optimized Electrothermal Filter. IEEE J. Solid-State Circuits 2009, 44, 2026–2035. [Google Scholar] [CrossRef] [Green Version]
  81. van Vroonhoven, C.P.L.; Makinwa, K.A.A. Thermal Diffusivity Sensing: A New Temperature Sensing Paradigm. In Proceedings of the 2011 IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA, 19–21 September 2011; pp. 1–6. [Google Scholar]
  82. van Vroonhoven, C.P.L.; D’Aquino, D.; Makinwa, K.A.A. A ±0.4 °C (3σ) −70 to 200 °C Time-Domain Temperature Sensor Based on Heat Diffusion in Si and SiO2. In Proceedings of the 2012 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2012; pp. 204–206. [Google Scholar]
  83. Sönmez, U.; Sebastiano, F.; Makinwa, K.A.A. Compact Thermal-Diffusivity-Based Temperature Sensors in 40-nm CMOS for SoC Thermal Monitoring. IEEE J. Solid-State Circuits 2017, 52, 834–843. [Google Scholar] [CrossRef]
  84. Pan, S.; Angevare, J.A.; Makinwa, K.A.A. A Self-Calibrated Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor. IEEE J. Solid-State Circuits 2021, 56, 3551–3559. [Google Scholar] [CrossRef]
  85. Makinwa, K.A.A. Smart Temperature Sensor Survey. Available online: http://ei.ewi.tudelft.nl/docs/TSensor_survey.xls (accessed on 5 October 2022).
  86. Aiello, O.; Alioto, M. Capacitance-Based Voltage Regulation and Reference-Free Temperature-to-Digital Converter down to 0.3 V and 2.5 nW for Direct Harvesting. In Proceedings of the 48th European Solid-State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 381–384. [Google Scholar]
  87. Chowdhury, G.; Hassibi, A. An On-Chip Temperature Sensor with a Self-Discharging Diode in 32-nm SOI CMOS. IEEE Trans. Circuits Syst. II Express Briefs 2012, 59, 568–572. [Google Scholar] [CrossRef]
Figure 1. Conceptual diagram of a Temperature-to-Digital Converter.
Figure 1. Conceptual diagram of a Temperature-to-Digital Converter.
Micromachines 13 02025 g001
Figure 2. BJT pair for Δ V B E signal generation.
Figure 2. BJT pair for Δ V B E signal generation.
Micromachines 13 02025 g002
Figure 3. MOSFET pair for Δ V G S signal generation.
Figure 3. MOSFET pair for Δ V G S signal generation.
Micromachines 13 02025 g003
Figure 4. Main circuits that exploit the temperature dependency of the propagation time of CMOS inverters to achieve a Temperature-to-Digital conversion: delay line based TDC (a), ring oscillator based TDC (b).
Figure 4. Main circuits that exploit the temperature dependency of the propagation time of CMOS inverters to achieve a Temperature-to-Digital conversion: delay line based TDC (a), ring oscillator based TDC (b).
Micromachines 13 02025 g004
Figure 5. Main circuits used to extract temperature information from the thermal behaviour of integrated resistors: Wheatstone bridge (a); RC filter (b); Wien-bridge filter (c).
Figure 5. Main circuits used to extract temperature information from the thermal behaviour of integrated resistors: Wheatstone bridge (a); RC filter (b); Wien-bridge filter (c).
Micromachines 13 02025 g005
Figure 6. V s i g as a function of Δ T in different ( | α | ; | β | ) conditions for α = + 1.5 · 10 3 K 1 , realistic value for n+ diffusion integrated resistors [67].
Figure 6. V s i g as a function of Δ T in different ( | α | ; | β | ) conditions for α = + 1.5 · 10 3 K 1 , realistic value for n+ diffusion integrated resistors [67].
Micromachines 13 02025 g006
Figure 7. Phase dependency on resistance variations for an RC filter: impact on the phase response of the filter (a) and phase shift at ω 0 as a function of the resistance variation (b).
Figure 7. Phase dependency on resistance variations for an RC filter: impact on the phase response of the filter (a) and phase shift at ω 0 as a function of the resistance variation (b).
Micromachines 13 02025 g007
Figure 8. Phase dependency on resistance variations for a Wien-bridge filter: impact on the phase response of the filter (a) and phase shift at ω 0 as a function of the resistance variation (b).
Figure 8. Phase dependency on resistance variations for a Wien-bridge filter: impact on the phase response of the filter (a) and phase shift at ω 0 as a function of the resistance variation (b).
Micromachines 13 02025 g008
Figure 9. Electrothermal filter for thermal diffusivity measurement.
Figure 9. Electrothermal filter for thermal diffusivity measurement.
Micromachines 13 02025 g009
Figure 10. TDC resolution time evolution in the last two decades.
Figure 10. TDC resolution time evolution in the last two decades.
Micromachines 13 02025 g010
Figure 11. TDC resolution performance distribution with sensing-type distinction.
Figure 11. TDC resolution performance distribution with sensing-type distinction.
Micromachines 13 02025 g011
Figure 12. TDC inaccuracy time evolution in the last two decades.
Figure 12. TDC inaccuracy time evolution in the last two decades.
Micromachines 13 02025 g012
Figure 13. Untrimmed TDC inaccuracy performance distribution with sensing-type distinction.
Figure 13. Untrimmed TDC inaccuracy performance distribution with sensing-type distinction.
Micromachines 13 02025 g013
Figure 14. 1-pt trimmed TDC inaccuracy performance distribution with sensing-type distinction.
Figure 14. 1-pt trimmed TDC inaccuracy performance distribution with sensing-type distinction.
Micromachines 13 02025 g014
Figure 15. 2-pt (or more) trimmed TDC inaccuracy performance distribution with sensing-type distinction.
Figure 15. 2-pt (or more) trimmed TDC inaccuracy performance distribution with sensing-type distinction.
Micromachines 13 02025 g015
Figure 16. TDC conversion energy time evolution in the last two decades.
Figure 16. TDC conversion energy time evolution in the last two decades.
Micromachines 13 02025 g016
Figure 17. TDC conversion energy performance distribution with sensing-type distinction.
Figure 17. TDC conversion energy performance distribution with sensing-type distinction.
Micromachines 13 02025 g017
Figure 18. TDC energy efficiency time evolution in the last two decades.
Figure 18. TDC energy efficiency time evolution in the last two decades.
Micromachines 13 02025 g018
Figure 19. TDC energy efficiency performance distribution with sensing-type distinction.
Figure 19. TDC energy efficiency performance distribution with sensing-type distinction.
Micromachines 13 02025 g019
Figure 20. TDC silicon area time evolution in the last two decades.
Figure 20. TDC silicon area time evolution in the last two decades.
Micromachines 13 02025 g020
Figure 21. TDC silicon area distribution with sensing-type distinction.
Figure 21. TDC silicon area distribution with sensing-type distinction.
Micromachines 13 02025 g021
Figure 22. Spider chart summarizing the peculiarities of the four studied categories of TDCs.
Figure 22. Spider chart summarizing the peculiarities of the four studied categories of TDCs.
Micromachines 13 02025 g022
Table 1. First order TCs of different resistor types in a standard 0.18-µm CMOS process [67].
Table 1. First order TCs of different resistor types in a standard 0.18-µm CMOS process [67].
Resistor TypeTC [K 1 ]
n+ diffusion + 1.5 · 10 3
p+ diffusion + 1.5 · 10 3
n-poly 1.5 · 10 3
n-well + 3.0 · 10 3
Table 2. First order TCs of different resistor types in a standard 65-nm CMOS process [68].
Table 2. First order TCs of different resistor types in a standard 65-nm CMOS process [68].
Resistor TypeTC [K 1 ]
n+ diffusion with salicide + 2.2 · 10 3
n+ diffusion without salicide + 1.6 · 10 3
n+ poly with salicide + 2.2 · 10 3
n+ poly without salicide + 1.2 · 10 3
n-well under oxide diffusion + 2.5 · 10 3
n-well under shallow trench isolation + 2.0 · 10 3
p+ diffusion with salicide + 2.4 · 10 3
p+ diffusion without salicide + 1.3 · 10 3
p+ poly with salicide + 2.4 · 10 3
p+ poly without salicide 3.2 · 10 3
Table 3. Additional details regarding the curves of Figure 6.
Table 3. Additional details regarding the curves of Figure 6.
α [K 1 ] β [K 1 ]Sensitivity [mV/K]Nonlinearity 1 [mV]
+ 1.5 · 10 3 3.0 · 10 3 2.25126
+ 1.5 · 10 3 2.25 · 10 3 1.8852.44
+ 1.5 · 10 3 1.5 · 10 3 1.50
+ 1.5 · 10 3 0.75 · 10 3 1.1331.46
1 Evaluated as norm of residuals between Vsig and its linear fit across the considered ΔT range.
Table 4. Performance recap of the different TDC sensing types.
Table 4. Performance recap of the different TDC sensing types.
BJT-Based TDCsMOS-Based TDCsResistor-Based TDCsTD-Based TDCs
Resolution48 mK125 mK5 mK112 mK
Relative Inaccuracy
(untrimmed)
1.95%//0.77%
Relative Inaccuracy
(1-pt trimmed)
0.46%2.10 %1.21%0.98%
Relative Inaccuracy
(at least 2-pt trimmed)
3.47%1.59%0.45%/
Conversion Energy195 nJ12 nJ51 nJ121 µJ
Resolution FoM0.45 nJ·K 2 0.18 nJ·K 2 1.43 fJ·K 2 1.53 µJ·K 2
Silicon Area0.085 mm 2 0.033 mm 2 0.073 mm 2 0.038 mm 2
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Aprile, A.; Bonizzoni, E.; Malcovati, P. Temperature-to-Digital Converters’ Evolution, Trends and Techniques across the Last Two Decades: A Review. Micromachines 2022, 13, 2025. https://doi.org/10.3390/mi13112025

AMA Style

Aprile A, Bonizzoni E, Malcovati P. Temperature-to-Digital Converters’ Evolution, Trends and Techniques across the Last Two Decades: A Review. Micromachines. 2022; 13(11):2025. https://doi.org/10.3390/mi13112025

Chicago/Turabian Style

Aprile, Antonio, Edoardo Bonizzoni, and Piero Malcovati. 2022. "Temperature-to-Digital Converters’ Evolution, Trends and Techniques across the Last Two Decades: A Review" Micromachines 13, no. 11: 2025. https://doi.org/10.3390/mi13112025

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop