Next Article in Journal
Mixing Enhancement of Non-Newtonian Shear-Thinning Fluid for a Kenics Micromixer
Previous Article in Journal
Ion Conductance-Based Perfusability Assay of Vascular Vessel Models in Microfluidic Devices
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Line-Edge Roughness from Extreme Ultraviolet Lithography to Fin-Field-Effect-Transistor: Computational Study

The Faculty of Liberal Arts, Hongik University, Seoul 04066, Korea
Micromachines 2021, 12(12), 1493; https://doi.org/10.3390/mi12121493
Submission received: 9 November 2021 / Revised: 26 November 2021 / Accepted: 27 November 2021 / Published: 30 November 2021
(This article belongs to the Special Issue Fabrication and Application of Field Effect Transistor)

Abstract

:
Although extreme ultraviolet lithography (EUVL) has potential to enable 5-nm half-pitch resolution in semiconductor manufacturing, it faces a number of persistent challenges. Line-edge roughness (LER) is one of critical issues that significantly affect critical dimension (CD) and device performance because LER does not scale along with feature size. For LER creation and impacts, better understanding of EUVL process mechanism and LER impacts on fin-field-effect-transistors (FinFETs) performance is important for the development of new resist materials and transistor structure. In this paper, for causes of LER, a modeling of EUVL processes with 5-nm pattern performance was introduced using Monte Carlo method by describing the stochastic fluctuation of exposure due to photon-shot noise and resist blur. LER impacts on FinFET performance were investigated using a compact device method. Electric potential and drain current with fin-width roughness (FWR) based on LER and line-width roughness (LWR) were fluctuated regularly and quantized as performance degradation of FinFETs.

1. Introduction

According to a scaling-down process, extreme ultraviolet lithography (EUVL) with 13.5-nm wavelength provides a solution to avoid the complex multi-patterning integration and cost [1]. Fin-field-effect-transistor (FinFET) is one of mainstream devices for the post-planar complementary metal-oxide semiconductor (CMOS) because of its efficient suppression of short-channel effect and leakage current [2,3]. However, for 5-nm pattern formation, EUVL has faced many technical challenges towards this paradigm shift to its wavelength platform [4,5]. There are well-known fundamental trade-off relationships among resolution (R), line-edge roughness (LER), and sensitivity (S) that hamper their simultaneous enhancement in chemically amplified resists (CARs) [6]. Hence, LER is one of current challenges limiting EUV applications. LER affects feature size and device malfunctions so significantly that LER reduction with nanometer accuracy is required [7,8,9]. LER and line-width roughness (LWR) are caused by EUV stochastic events such as shot noise of incident photons, chemical concentration shot noise, and molecule reaction-diffusion in resists [10]. Since numbers of photons in EUVL are 14 times smaller than those of ArF lithography, stochastic EUV photons can result in photon shot noise, which makes poor performance in EUV resist [11,12]. In addition, EUV photons contribute to fluctuation of acid generation and reaction with quenchers due to random location of PAG and quencher [13,14]. Therefore, during EUVL processes, stochastic EUV photons initiate random physical and chemical events in terms of multi-photon effect in frequency distribution and cascade and cluster of correlated reactions in special distribution [15]. Phenomena of these stochastic events are LER, LWR, and stochastic defects such as pinching and bridges [16]. Compared to previous LER modeling of ArF resists, precise EUVL process modeling of LER has been a hot issue [17,18,19,20]. The fin and gate critical dimension (CD) LERs of FinFET devices can seriously degrade performance and yield [21,22]. In this sense, it is required to understand LER creation mechanism in EUVL and FinFET performance degradation due to LER [23,24]. Although many studies have determined LER effects of EUVL and FinFETs [25,26], this paper deals with LER effects of EUVL simulation parameters for 5-nm pattern formation and FinFET performance with 5-nm gate length, totally describing LER effects from EUVL processes to FinFET devices. For LER creation in EUVL and LER impacts on FinFET performance, a LER modeling in EUVL processes and an analytical method for FinFET degradation due to LER are described, respectively.

2. Simulation Method

2.1. LER Modeling in EUVL Processes

Figure 1 shows schematic representation of exposure process. In exposure process in Figure 1, EUV photons are absorbed at random positions of an EUV resist due to exposure parameters such as local light intensity related to imaging system and resist absorption. Incident EUV light collides with an atom of an EUV resist and the atom emits photoelectrons in arbitrary directions through ionization process [13,15]. Each photoelectron moves and stops until its energy becomes lower than a certain threshold energy. Through collisions between a photoelectron and an atom in resist materials, a photoelectron’s direction is changed according to elastic scattering and inelastic scattering. Monte Carlo method can be used to compute a possible set of scattering events for a photoelectron as it travels inside a resist [27,28,29]. By repeating this process many times, a statistically valid and detailed picture of interaction processes can be constructed. For elastic scattering between a photoelectron (or a secondary electron (SE)) and an atom, momentum and kinetic energy of a photoelectron (or a SE) are conserved. The scattering cross-section ( σ T ) of the tabulated Mott data for a low energy is
σ T = 3.0 × 10 18 Z 1.7 E + 0.005 Z 1.7 E 0.5 + 0.0007 Z 2 / E 0.5   ,
where E and Z are incident energy and atomic number, respectively. For inelastic scattering between a photoelectron and an atom’s electron, this scattering not only changes a photoelectron’s direction, but changes a photoelectron’s energy. For outer shell excitation, Moller cross-section with energy limit is
σ T = π e 4 E 2 { 1 ε c 1 1 ε c ln ( ε c 1 ε c ) }   ,
where ε c is a coefficient of transferred minimum energy. For inner shell ionization with binding energy, Vriens cross-section is
σ T = π e 4 E 2 ( 1 + 2 U i ) { 5 3 U i 1 2 3 U i + Φ ln U i 1 + U i }   ,   Φ = cos [ ( R y 1 + U i ) 1 / 2 ln U i ]   ,
where R y and U i are Rydberg energy and binding energy normalized by the primary energy, respectively. A portion of the absorbed energy is transmitted to the atom’s electron, and the atom becomes excited or ionized. Incident photoelectrons (or SEs) produce SEs by outer shell electron excitation. Moller cross-section is used for this excitation with free electrons [30]. CSDA (continuously slowing down approximation) model is used as an energy loss model in Bethe equation:
[ d E d s ] c o n t = [ d E d s ] B e t h e [ d E d s ] d i s ,   [ d E d s ] B e t h e = 2 π e 4 E Z ln ( 1.166 E J )   ,
[ d E d s ] d i s = π e 4 N E [ Z i 1 + 2 U i { 2 3 3 ln 2 + 1 3 ( 1 + U i ) ( 1 2 U i ) + 3 ln ( 1 + U i ) ln U i } + Z f { 2 3 ln 2 1 1 ε c 2 ln ( 1 ε c ) ln ε c } ] , J = J 1 + k J / E ,
where s , J , and k are path length along the trajectory, the mean ionization potential of materials, and a value depended on materials, respectively. After spin coating, photo-acid generators (PAGs) is distributed at random positions uniformly inside a chemical amplified resist (CAR). PAGs capture some of SEs and generate photoacids within SE blur range [31]. Therefore, acid generation (AG) rate is corresponding to capture rate, which is depended on probability of SE existence at a PAG site. As a good approximation to this result, a point-spread function ( P A G ) of AG probability with electrons is suggested as
P A G ( i o n i z a t i o n ) = ϕ p o l y m e r 0 R A G C A G w d ( D e t ) 0 w t = 0 r 2 d r   ,
where the initial distribution function of thermalized electrons is 4 π w t = 0 r 2 d r = ( 1 / r 0 ) exp ( r / r 0 ) d r , w is probability density of electrons, r 0 represents the mean initial separation distance between a thermalized electron and its parent radical cation, and ϕ p o l y m e r is deprotonation efficiency of polymer radical cations [32,33]. For electron migration after thermalization, equation of low-energy (thermalized) electrons to AGs is
w D e t = 2 w r 2 + ( 2 r + S N + e 4 π ε 0 ε k B T r 2 ) w r 4 π R A G C A G w   ,
where D e , k e , T , R A G , C A G , e , ε 0 , ε , and N + represent diffusion constant of electrons, Boltzmann constant, absolute temperature, effective reaction radius, concentration of AGs, elementary electric charge, dielectric constant in vacuum, relative dielectric constant of a resist film, and average number of positive charges, respectively.
For post-exposure bake (PEB) process, acid distribution ( C a c i d ) in CAR resists catalyzes a thermally-induced reaction with quenches:
C a c i d t = D a c i d 2 C a c i d k q C a c i d C q k l o s s C a c i d   ,
C q t = D q 2 C q k q C a c i d C q   ,
C p t = k p C a c i d C q   ,
where D a c i d ( = k 0 a c i d exp ( E a a c i d / R T ) ) ,   D q ( = k 0 q exp ( E a q / R T ) ) ,   k q ( = k 0 q exp ( E a q / R T ) ) ,   k l o s s ( = k 0 l o s s exp ( E a l o s s / R T ) ) , k p ( = k 0 p exp ( E a p / R T ) ) ,   C q ,   C p ,   E a a c i d , E a q , E a p ,   R ,   t , and T are diffusion constant of acid, diffusion constant of base quenchers, rate constants of neutralization, rate constant of acid loss, rate constant of deprotection, concentration of base quenchers, concentration of protected unit, activation energy of acids, activation energy of quenches, activation energy of deprotection, ideal gas constant, time, and temperature, respectively [13,33]. For PEB process, equations (8–10) of acid and base quencher diffusion, deprotection reaction, and neutralization can reproduce experimental results [34,35]. When f L E R is proportionality constant and m is normalized protected unit concentration, L E R   ( f L E R / ( d m / d x ) ) is proportional to protected unit fluctuation [36,37]. For LER reduction, enhancement of chemical gradient ( d m / d x ) at boundaries between lines and spaces can be increased through absorption coefficient increase of resist polymer, quantum efficiency of acid generation, effective reaction radius for deprotection, and increase of PAG concentration.
A stochastic model proves useful for prediction of LER without quencher and photon shot noise:
L E R 1 1 e π 2 σ D 2 / 2 L 2 1 ( K a m p t P E B ) m * ln m * ( 2 a σ D ) 2 n 0 b l o c k n 0 P A G   ,
where K a m p is amplification rate constant, t P E B is PEB time, m * is means value of blocked polymer concentration after PEB, σ D / a is a ratio of acid diffusion length to capture range of deblocking reaction, n 0 P A G is mean initial number of PAGs in control volume at exposure start, and n 0 b l o c k e d is mean initial number of blocked polymer groups in volume before PEB [38].

2.2. LER and LWR Modeling of FinFET

During lithography processes, LER and LWR are factors of EUV stochastic events such as shot noise of incident photons. TCAD has been used to apply LER and LWR to device performance and I-V characteristics [39,40,41,42,43]. Figure 2 describes a FinFET structure. For electric potentials, governing equations of short-channel FinFET in a subthreshold region (low-gate voltage) are
2 φ 0 ( x ,   y ) x 2 + 2 φ 0 ( x ,   y ) y 2 = q N a ε s i   ,   2 φ 1 ( x ,   y ) x 2 + 2 φ 1 ( x ,   y ) y 2 = 0   ,
where φ 0 (or φ 1 ), N a , q , and ε s i are zeroth (or first) order of electric potential, doping concentration, electric charge, and silicon permittivity, respectively [44,45]. Using boundary conditions, electric potential ( φ 0 ) without LER can be approximated as a parabolic form:
φ 0 = C 0 ( y ) + C 1 x + C 2 x 2   ,
C 0 ( y ) = V S L + ( V b i V S L ) sin h ( L y λ ) sin h ( L λ ) + ( V b i + V d s V S L ) sin h ( y λ ) sin h ( L λ )   ,
C 1 = 0   ,       C 2 = V g V f b C 0 t i ε s i t s i ε i + t s i 2 4   ,
where λ ( = 1 / 2 ( t i ε s i t s i / ε i + t s i 2 / 4 ) ) ,   V b i ( = E g / ( 2 q ) + k T / q ln ( N a / n i ) ) , V S L ( = V g V f b ( q N a / ε s i ) λ 2 ) , V f b , E g , n i , L , t i , t s i , ε i , V d s , k , and T are a parameter, built-in potential at source end, center potential for a long-channel transistor, flat-band voltage, silicon bandgap energy, intrinsic carrier concentration, channel length, oxide thickness, fin width, oxide permittivity, drain-source voltage, Boltzmann constant, and temperature, respectively. Electric potential ( φ 1 ) with LER can be approximated as a parabolic form:
φ 1 = k [ a k sin h ( π k L ( x t s i 2 ) ) + b k sin h ( π k L ( x + t s i 2 ) ) ] sin ( π k L y )   ,
a k = 2 L 0 L t 2 C 2 t s i sin ( π k L y ) / sin h ( π k t s i L ) d y   ,
b k = 2 L 0 L t 1 C 2 t s i sin ( π k L y ) / sin h ( π k t s i L ) d y   ,
where t 1 and t 2 are functions of fin-width roughness (FWR). Drain current ( I d s ) can be described as
I d s d y = μ q W Q i n v d V = μ q W [ n i 2 N a e q k T V t s i / 2 + t 2 t s i / 2 + t 1 e q k T ( φ V ) d x ] d V   , μ q W n i 2 N a e q k T V t s i / 2 t s i / 2 e q k T φ 0 ( 1 + Δ ) d x d V   ,  
where Δ = ( q / ( k T ) ) φ 1 + ( 1 / 2 ) ( q φ 1 / ( k T ) ) 2 + , μ is low-field and temperature-dependent mobility, Q i n v is inversion charge density, W is total effective fin-width, and V is quansi-Fermi potential [46,47]. Drain currents I d s 0 (and Δ I d s ) without (and with) LER are, respectively,
I d s 0 = q μ W k T q n i 2 N a [ 1 exp ( V d s k T / q ) ] 0 L d y / t s i / 2 t s i / 2 e q k T φ 0 d x   ,   Δ I d s = q μ W k T q n i 2 N a [ 1 exp ( V d s k T / q ) ] 0 L d y / t s i / 2 t s i / 2 Δ e q k T φ 0 d x   .  
These theoretical equations were verified with experimental results and simulation results of commercial TCADs in [46,47].

3. Results and Discussion

Figure 3 shows Monte Carlo simulations of a photoelectron and secondary electron trajectories by using a hybrid model with Equations (1)–(5) through elastic and inelastic scatterings in Figure 1. Simulation conditions were wavelength ( λ = 13.5-nm), incidence angle ( θ = 6 deg.), numerical aperture ( N A = 0.33), a dipole illumination, resist thickness (20-nm), incident dose of 15 mJ/cm2 (10.2 photons/nm2), a PHS (C8H8O)-CAR, and threshold energy ( E t h = 21 eV).
Figure 4a shows AG probability at EUV absorption points in Equation (6). Monte Carlo method tracked electron trajectories generated by 11 EUV photons. Figure 4b shows numerical simulation of electron migration in Equation (7) by using the forward time and centered space (FTCS):
w i , j n + 1 w i , j n Δ D e t = ( w i + 1 , j n 2 w i , j n + w i 1 , j n Δ r 2 ) + ( 2 i Δ r + r i + S N + e 2 4 π ε 0 k B T ( i Δ r + r i ) 2 ) ( w i + 1 , j n w i , j n Δ r ) 4 π R A G C A G w j n .
For larger simulation time, probability density of electrons moved to the left more in Figure 4a. Simulation conditions were average number of positive charges ( N + = 4.2), shielding effect ( S = 0.67), effective reaction radius ( R A G = 2.4-nm), AGs concentration ( C A G = 10 wt%), relative dielectric constant of resist film ( ε = 4), mean initial separation distance ( r 0 = 4-nm), k B T = 4.11 × 10−21 J, and diffusion constant of electrons ( D e = 1.0 nm2 s−1). Therefore, LER formation was caused by initial acid distribution due to fluctuation of acid concentration at image boundary.
Figure 5 shows numerical simulation of a negative CAR without quenchers in Equations (8) and (10) by using FTCS:
C a c i d i , j n + 1 C a c i d i , j n Δ t = k l o s s C a c i d j n + D a c i d ( C a c i d i + 1 , j n 2 C a c i d i , j n + C a c i d i 1 , j n Δ x 2 + C a c i d i , j + 1 n 2 C a c i d i , j n + C a c i d i , j 1 n Δ y 2 )   ,
[ C p ] = [ C p ] t = 0 e k p C a c i d .
For PEB process, concentration of cross-linked polymer was diffused more due to a larger diffusion length in Figure 5. Simulation conditions were rate constant of deprotection ( k p = 2.5 ) and rate constant of acid loss ( k l o s s = 2.3 × 10 5 ).
Figure 6a shows LER   ( f L E R / ( d m / d x ) ) behaviors due to exposure dose. As exposure dose increased, LER dropped down and then became saturated because exposure fluctuation decreased fast. LER conditions were proportionality constant ( f L E R = 0.3 ), diffusion constant of acid ( D a c i d = 1   nm 2   s 1 ), and diffusion constant of quencher ( D q = 1   nm 2   s 1 ). Figure 6b shows trend of LER versus acid diffusion with different values of deprotection capture range, a = 1.0 and 1.5-nm for 5-nm feature. In each case, there was a diffusion length that minimized LER. Below the optimum diffusion length, increasing diffusion improved LER because LER was limited by statistical variance of blocked polymer concentration. However, above the optimum diffusion length, it further increase degraded gradient and worsened the LER, because LER was limited by gradient.
For fluctuation of electric potentials due to FWR four-types in Figure 7a using Equations (16)–(18), although fluctuation ranges were different, graphs of electric potentials φ 1 ( 0 ,   y ) at fat-fin type, thin-fin type, big-source type, and big-drain type were right shift, left shift, down shift, and upper shift, respectively. Figure 7b shows electric potentials φ 1 ( x ,   L / 2 ) of x-distance at 5-nm gate length for a FinFET with FWRs. Sequence of lager fluctuations of electric potential φ 1 ( x ,   L / 2 ) with x-distance along fin width was big-drain type < fat-fin type = thin-fin type < big-source type.
For gate length L = 5-nm, Figure 8 shows absolute drain currents | Δ I d s | with FWRs due to gate voltages ( V g ) using Equation (20). Fluctuations of absolute drain currents ( | Δ I d s | ) with fat-fin, thin-fin, and big-drain FWRs shifted righter, respectively. However, values of absolute drain currents ( | Δ I d s | ) increased in terms of larger gate length. Larger currents in Figure 8 can be considered as limit of simple FinFET performance with gate lengths L = 5-nm. Simulation conditions were drain-source voltage ( V d s = 0.05 V), intrinsic carrier concentration ( n i = 1.5 × 1010 cm−3), doping concentration ( N a = 1017 cm−3), channel length ( L = 5-nm), oxide thickness ( t i = 0.72-nm), fin width ( t s i = 5-nm), oxide permittivity ( ε i = 3.9 ε 0 ), permittivity ( ε 0 = 8.854 × 10−12 C2N−1m−2), Boltzmann constant and temperature ( k T = 0.026 eV), electric charge ( q = 1.6 × 10−19 C), gate voltages ( V g = 0.2 V), total effective fin-width ( W = 10-nm), low-field and temperature-dependent mobility ( μ = 100 cm2V−1s−1), and amplitude of function FWRs ( A = 1.0 × 10−9 m).
A neural network such as Taguchi method is a powerful method for integration of design of experiments (DOE) with parametric optimization of processes, yielding desired results by using an orthogonal array experiments that provide much-reduced variance for experiments. Hence, this method is a simple and efficient method to find best range of designs for quality, performance, and computational cost by using a statistical measure of performance called signal-to-noise ratio (S/N). S/N ratio is defined as mean (signal) ratio to standard deviation (noise). S/N ratios are lower-the-better (LB), higher-the-better (HB), and nominal-the best (NB). S/N ratio for LER is LB (lower-the-better) criterion:
S / N = 10 log ( 1 n y 2 )   ,
where y is observed data and n is number of observations. Parameter level combination that maximizes appropriate S/N ratio is optimal setting [48,49].
Figure 9a shows sensitivity of EUVL parameters on LER by using Taguchi method in minitabTM, a commercial tool. According to a neural method, PEB temperature ( T P E B ) and PEB time ( t P E B ) are dominant factors. This means that PEB process is the most dominant process for LER in EUVL processes. Thus, controlling PEB time is effective in managing LER in experimental processes. Figure 9b shows sensitivity of FinFET parameters on electric potentials and current drains with FWR. According to S/N effects, gate voltage ( V g ) and channel length ( L ) are more dominant factors for electric potential φ 1 ( 0 , L / 2 ) and drain current Δ I d s with FWR. Sensitivity of FWR amplitude on electric potentials and drain currents is similar to sensitivity of oxide thickness. When particle dimension of semiconductors approached near to or below Bohr exciton radius of bulk semiconductor, current performance can be affected by quantum confinement effects [50]. Quantum confinement effects should be considered when modelling of 5-nm FinFET devices.

4. Conclusions

An EUVL modeling and a compact device method described LER impacts on 5-nm patterns and FinFET performance with 5-nm gate length, respectively. For EUVL processes, a Monte-Carlo method and a point-spread function were used for scattering events of EUV photons and acid distribution of a CAR, respectively. This simulator successfully performed LER for 5-nm patterns. According to a compact device method, for y-direction along the gate length, electric potentials of 5-nm gate length with fat-fin, thin-fin, big-source, and big-drain FWRs were right shift, left shift, down shift, and upper shift, respectively. For x-direction along fin width due to gate length, sequence of lager fluctuations in electric potentials was big-drain type < fat-fin type = thin-fin type < big-source type. For drain currents with FWRs due to gate voltages, absolute drain currents with fat-fin, thin-fin, and big-drain FWRs shifted righter, respectively. However, larger currents can be caused by limit of the simple FinFET performance. According to a neural network for LER, PEB temperature and PEB time are dominant factors. Gate voltage and channel length are dominant for sensitivity of electric potential and drain current in a FinFET device with FWRs. Therefore, for reduction of LER and FWR effects, values of those dominant parameters should be reduced.

Funding

This work was supported by 2021 Hongik University Research Fund.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Mojarad, N.; Hojeij, M.; Wang, L.; Gobrecht, J.; Ekinci, Y. Single-Digit-Resolution Nanopatterning with Extreme Ultraviolet Light for the 2.5 nm Technology Node and Beyond. Nanoscale 2015, 7, 4031–4037. [Google Scholar] [CrossRef] [PubMed]
  2. Chen, K.-T.; Qiu, Y.-Y.; Tang, M.; Lee, C.-F.; Dai, Y.-L.; Lee, M.-H.; Chang, S.-T. Negative-Capacitance Fin Field-Effect Transistor beyond the 7-nm Node. J. Nanosci. Nanotechnol. 2018, 18, 6873–6878. [Google Scholar] [CrossRef] [PubMed]
  3. Wu, Q.; Li, Y.; Yang, Y.; Zhao, Y. A Photolithography Process Design for 5 nm Logic Process Flow. J. Microelectron. Manuf. 2019, 2, 19020408. [Google Scholar] [CrossRef]
  4. Seisyan, R.P. Nanolithography in microelectronics: A review. Tech. Phys. 2011, 56, 1061–1073. [Google Scholar] [CrossRef]
  5. Li, L.; Liu, X.; Pal, S.; Wang, S.; Ober, C.K.; Giannelis, E.P. Extreme ultraviolet resist materials for sub-7 nm patterning. Chem. Soc. Rev. 2017, 46, 4855–4866. [Google Scholar] [CrossRef]
  6. Gronheld, R.; Pret, A.V.; Rathsack, B.; Hooge, J.; Scheer, S.; Nafus, K.; Shite, H.; Kltano, J. Resolution–Linewidth Roughness–Sensitivity Performance Tradeoffs for an Extreme Ultraviolet Polymer Bound Photo-Acid Generator Resist. J. Micro/Nanolith. Mens Moems 2011, 10, 013017. [Google Scholar] [CrossRef]
  7. Mack, C.A. Reducing Roughness in Extreme Ultraviolet Lithography. J. Micro/Nanolith. Mems Moems 2018, 17, 041006. [Google Scholar] [CrossRef]
  8. Wang, G.; Wang, Y.; Wang, J.; Pan, L.; Yu, L.; Zheng, Y.; Shi, Y. An Optimized FinFET Channel with Improved Line-Edge Roughness and Linewidth Roughness Using the Hydrogen Thermal Treatment Technology. IEEE Trans. Nanotechnol. 2017, 16, 1081–1087. [Google Scholar] [CrossRef]
  9. Park, J.; Lee, S.-G.; Vesters, Y.; Severi, J.; Kim, M.; Simone, D.D.; Oh, H.-K.; Hur, S.-M. Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation. Polymers 2019, 11, 1923. [Google Scholar] [CrossRef] [Green Version]
  10. Patsis, G.P.; Drygiannakis, D.; Constantoudis, V.; Raptis, I.; Gogolides, E. Stochastic Modeling and Simulation of Photoresist Surface and Line-Edge Roughness Evolution. Eur. Polym. J. 2010, 46, 1988–1999. [Google Scholar] [CrossRef]
  11. Garidis, K.; Pret, A.V.; Gronheid, R. Mask Roughness Impact on Extreme UV and 193 nm Immersion Lithography. Microelectron. Eng. 2012, 98, 138–141. [Google Scholar] [CrossRef]
  12. Bhattarai, S.; Neureuther, A.R.; Naulleau, P.P. Study of Shot Noise in Photoresists for Extreme Ultraviolet Lithography through Comparative Analysis of Line Edge Roughness in Electron Beam and Extreme Ultraviolet Lithography. J. Vac. Sci. Technol. B 2017, 35, 061602. [Google Scholar] [CrossRef]
  13. Kozawa, T.; Yamamoto, H.; Tagawa, S. Relationship between Line Edge Roughness and Fluctuation of Acid Concentration in Chemically Amplified Resist. Jpn. J. Appl. Phys. 2010, 49, 1–5. [Google Scholar] [CrossRef]
  14. Lee, H.; Park, S.; Kim, M.; Moon, J.; Lee, B.; Cho, M. Multiscale Simulation of Extreme Ultraviolet Nanolithography: Impact of Acid–base Reaction on Pattern Roughness. J. Mater. Chem. C 2021, 9, 1183–1195. [Google Scholar] [CrossRef]
  15. Fukuda, H. Cascade and Cluster of Correlated Reactions as Causes of Stochastic Defects in Extreme Ultraviolet Lithography. J. Micro/Nanolith. Mems Moems 2020, 19, 024601. [Google Scholar] [CrossRef]
  16. Bisschop, P.D. Stochastic Effects in EUV Lithography: Random, Local CD Variability, and Printing Failures. J. Micro/Nanolith. MEMS MOEMS 2017, 16, 041013. [Google Scholar]
  17. Belete, Z.; Bisschop, P.D.; Welling, U.W.; Erdmann, A. Stochastic Simulation and Calibration of Organometallic Photoresists for Extreme Ultraviolet Lithography. J. Micro/Nanolith. MEMS MOEMS 2021, 20, 014801. [Google Scholar] [CrossRef]
  18. Chauhan, S.; Somervell, M.; Carcasi, M.; Scheer, S.; Bonnecaze, R.T.; Mack, C.A.; Willson, C.G. Mesoscale Modeling: A Study of Particle Generation and Line-Edge Roughness. J. Micro/Nanolith. MEMS MOEMS 2014, 13, 013012. [Google Scholar] [CrossRef]
  19. Kim, M.; Moon, J.; Choi, J.; Park, S.; Lee, B.; Cho, M. Multiscale Simulation Approach on Sub-10 nm Extreme Ultraviolet Photoresist Patterning: Insights from Nanoscale Heterogeneity of Polymer. Macromolecules 2018, 51, 6922–6935. [Google Scholar] [CrossRef]
  20. Rathore, R.S.; Rana, A.K. Impact of Line Edge Roughness on the Performance of 14-nm FinFET: Device-Circuit Co-Design. Superlattice Microst. 2018, 113, 213–227. [Google Scholar] [CrossRef]
  21. Amita, S.M.; Ganguly, U. An Analytical Model to Estimate VT Distribution of Partially Correlated Fin Edges in FinFETs Due to Fin-Edge Roughness. IEEE Trans. Electron Devices 2017, 64, 1708–1715. [Google Scholar] [CrossRef]
  22. Patel, K.; Liu, T.-J.K.; Spanos, C.J. Gate Line Edge Roughness Model for Estimation of Fin FET Performance Variability. IEEE Trans. Electron Devices 2009, 56, 3055–3063. [Google Scholar] [CrossRef]
  23. Yu, S.; Zhao, Y.; Zeng, L.; Du, G.; Kang, J.; Han, R.; Liu, X. Impact of Line-Edge Roughness on Double-Gate Schottky-Barrier Field-Effect Transistors. IEEE Trans. Electron Devices 2009, 56, 1211–1219. [Google Scholar] [CrossRef]
  24. Seoane, N.; Indalecio, G.; Aldegunde, M.; Nagy, D.; Elmessary, M.A.; Garcia-Loureiro, A.J.; Kalna, K. Comparison of Fin-Edge Roughness and Metal Grain Work Function Variability in InGaAs and Si FinFETs. IEEE Trans. Electron Devices 2016, 63, 1209–1216. [Google Scholar] [CrossRef] [Green Version]
  25. Jiang, X.; Wang, R.; Yu, T.; Chen, J.; Huang, R. Investigations on Line-Edge Roughness (LER) and Line-Width Roughness (LWR) in Nanoscale CMOS Technology: Part I–Modeling and Simulation Method. IEEE Trans. Electron Devices 2013, 60, 3669–3675. [Google Scholar] [CrossRef]
  26. Lee, J.; Park, T.; Ahn, H.; Kwak, J.; Moon, T.; Shin, C. Prediction Model for Random Variation in FinFET Induced by Line-Edge-Roughness (LER). Electronics 2021, 10, 455. [Google Scholar] [CrossRef]
  27. Zhou, J.; Yang, X. Monte Carlo Simulation of Process Parameters in Electron Beam Lithography for Thick Resist Patterning. J. Vac. Sci. Technol. B 2006, 24, 1202–1209. [Google Scholar] [CrossRef]
  28. Guo, R.; Lee, S.-Y.; Choi, J.; Lee, S.-H.; Shin, I.-K.; Jeon, C.-U.; Kim, B.-G.; Cho, H. Derivation of Line Edge Roughness Based on Analytic Model of Stochastic Exposure Distribution. J. Vac. Sci. Technol. B 2013, 31, 06F408. [Google Scholar] [CrossRef]
  29. Kotera, M.; Yagura, K.; Niu, H. Dependence of Linewidth and its Edge Roughness on Electron Beam Exposure Dose. J. Vac. Sci. Technol. B 2005, 23, 2775–2779. [Google Scholar] [CrossRef]
  30. Lee, Y.; Lee, W.; Chun, K.; Kim, H. New Three Dimensional Simulator for Low Energy (~1 keV) Electron Beam Systems. J. Vac. Sci. Technol. B 1999, 17, 2903–2906. [Google Scholar] [CrossRef]
  31. Gronheid, R.; Rathsack, B.; Bernard, S.; Pret, A.V.; Nafus, K.; Hatakeyama, S. Effect of PAG Distribution on ArF and EUV Resist Performance. J. Photopolym. Sci. Technol. 2009, 22, 97–104. [Google Scholar] [CrossRef] [Green Version]
  32. Kozawa, T.; Saeki, A.; Tagawa, S. Point Spread Function for the Calculation of Acid Distribution in Chemically Amplified Resists for Extreme Ultraviolet Lithography. Appl. Phys. Express 2008, 1, 027001. [Google Scholar] [CrossRef]
  33. Liu, C.-H.; Ng, P.C.W.; Shen, Y.-T.; Chien, S.-W.; Tsai, K.-Y. Impacts of Point Spread Function Accuracy on Patterning Prediction and Proximity Effect Correction in Low-Voltage Electron-Beam–Direct-Write Lithography. J. Vac. Sci. Technol. B 2013, 31, 021605. [Google Scholar] [CrossRef]
  34. Kozawa, T.; Santillan, J.J.; Itani, T. Relationship between Sensitizer Concentration and Resist Performance of Chemically Amplified Extreme Ultraviolet Resists in Sub-10 nm Half-Pitch Resolution Region. Jpn. J. Appl. Phys. 2017, 56, 1–6. [Google Scholar] [CrossRef]
  35. Manouras, T.; Argitis, P. High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results. Nanomaterials 2020, 10, 1593. [Google Scholar] [CrossRef]
  36. Itani, T.; Kozawa, T. Resist Materials and Processes for Extreme Ultraviolet Lithography. Jpn. J. Appl. Phys. 2013, 52, 1–14. [Google Scholar] [CrossRef] [Green Version]
  37. Kozawa, T.; Santillan, J.J.; Itani, T. Analysis of Stochastic Effect in Line-and-Space Resist Patterns Fabricated by Extreme Ultraviolet Lithography. Appl. Phys. Express 2013, 6, 026502. [Google Scholar] [CrossRef]
  38. Mack, C. Fundamental Principles of Optical Lithography: The Science of Microfabrication; John Wiley & Sons: Chichester, UK, 2011; pp. 404–406. [Google Scholar]
  39. Leung, G.; Chui, C.O. Variability of Inversion-Mode and Junctionless FinFETs due to Line Edge Roughness. IEEE Electron Device Lett. 2011, 32, 1489–1491. [Google Scholar] [CrossRef]
  40. Seoane, N.; Indalecio, G.; Comesaña, E.; Aldegunde, M.; García-Loureiro, A.J.; Kalna, K. Random Dopant, Line-Edge Roughness, and Gate Workfunction Variability in a Nano InGaAs FinFET. IEEE Trans. Electron Devices 2014, 61, 466–472. [Google Scholar] [CrossRef]
  41. Baravelli, E.; Jurczak, M.; Speciale, N.; Meyer, K.D.; Dixit, A. Impact of LER and Random Dopant Fluctuations on FinFET Matching Performance. IEEE Trans. Nanotechnol. 2008, 7, 291–298. [Google Scholar] [CrossRef]
  42. Leung, G.; Lai, L.; Gupta, P.; Chui, C.O. Device- and Circuit-Level Variability Caused by Line Edge Roughness for Sub-32-nm FinFET Technologies. IEEE Trans. Electron Devices 2012, 59, 2057–2063. [Google Scholar] [CrossRef]
  43. Espineira, G.; Nagy, D.; Indalecio, G.; Garcia-Loureiro, A.; Kalna, K.; Seoane, N. Impact of Gate Edge Roughness Variability on FinFET and Gate-All-Around Nanowire FET. IEEE Electron Device Lett. 2019, 40, 510–513. [Google Scholar] [CrossRef] [Green Version]
  44. Sun, E.C.; Kuo, J.B. A Compact Threshold Voltage Model for Gate Misalignment Effect of DG FD SOI nMOS Devices Considering Fringing Electric Field Effects. IEEE Trans. Electron Devices 2004, 51, 587–596. [Google Scholar] [CrossRef]
  45. Liang, X.; Taur, Y. A 2-D Analytical Solution for SCEs in DG MOSFETs. IEEE Trans. Electron Devices 2004, 51, 1385–1391. [Google Scholar] [CrossRef]
  46. Chauhan, Y.S.; Lu, D.D.; Venugoplalan, S.; Khandelwal, S.; Seulveda, J.P.D.; Paydavosi, N.; Niknejad, A.M.; Hu, C. FinFET Modeling for IC Simulation and Design; Academic Press: San Diego, CA, USA, 2015; pp. 71–125. [Google Scholar]
  47. Cheng, Q.; You, J.; Chen, Y. Correlating FinFET Ddevice Variability to Spatial Fluctuation of Fin Width. Microelectron. Eng. 2014, 119, 53–60. [Google Scholar] [CrossRef]
  48. Li, T.-S.; Chen, S.-H.; Chen, H.-L. Thermal-Flow Techniques for Sub-35 nm Contact-Hole Fabrication using Taguchi Method in Electron-Beam Lithography. Microelectron. Eng. 2009, 86, 2170–2175. [Google Scholar] [CrossRef]
  49. Macis, H.A.; Yate, L.; Coy, E.; Aperador, W.; Olaya, J.J. Insights and Optimization of the Structural and Mechanical Properties of TiWSiN Coatings using the Taguchi method. Appl. Surf. Sci. 2021, 558, 149877. [Google Scholar] [CrossRef]
  50. Norris, D.J.; Bawendi, M.G. Measurement and Assignment of the Size-Dependent Optical Spectrum in CdSe Quantum Dots. Phys. Rev. B 1996, 53, 16338–16346. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Exposure simulation of Monte Carlo method: molecular processes in a resist-substrate system during EUV exposure. h v , I e , E , E t h , PAG, and SE are EUV energy, ionization energy of polymers, energy, threshold energy, photo-acid generator, and secondary electron, respectively.
Figure 1. Exposure simulation of Monte Carlo method: molecular processes in a resist-substrate system during EUV exposure. h v , I e , E , E t h , PAG, and SE are EUV energy, ionization energy of polymers, energy, threshold energy, photo-acid generator, and secondary electron, respectively.
Micromachines 12 01493 g001
Figure 2. Schematic description of a 3D FinFET device.
Figure 2. Schematic description of a 3D FinFET device.
Micromachines 12 01493 g002
Figure 3. Exposure simulation of Monte Carlo method: a photoelectron and secondary electron trajectories with EUV energy (92.5 eV) due to elastic and inelastic scattering (collision) of a photoelectron with an atom in a polyhydroxystyrene (PHS)-based chemically amplified resist.
Figure 3. Exposure simulation of Monte Carlo method: a photoelectron and secondary electron trajectories with EUV energy (92.5 eV) due to elastic and inelastic scattering (collision) of a photoelectron with an atom in a polyhydroxystyrene (PHS)-based chemically amplified resist.
Micromachines 12 01493 g003
Figure 4. Simulation results: (a) probability of acid generation for CAR by Monte Carlo method; (b) migration of electron probability density after thermalization in Equation (7). Δ t is an arbitrary time interval.
Figure 4. Simulation results: (a) probability of acid generation for CAR by Monte Carlo method; (b) migration of electron probability density after thermalization in Equation (7). Δ t is an arbitrary time interval.
Micromachines 12 01493 g004
Figure 5. Simulation results for cross-linked polymer concentration of a negative CAR after PEB by using FTCS due to diffusion lengths (Dacid): 0.02 μm; 0.025 μm; 0.045 μm; 0.065 μm.
Figure 5. Simulation results for cross-linked polymer concentration of a negative CAR after PEB by using FTCS due to diffusion lengths (Dacid): 0.02 μm; 0.025 μm; 0.045 μm; 0.065 μm.
Micromachines 12 01493 g005
Figure 6. LER simulation results: (a) LER dependency of exposure dose; (b) prediction of LER trends for 5-nm patterns using two values of the deblocking reaction capture range, a = 1.0-nm and 1.5-nm in 2-Dimension and 3-Dimension calculations.
Figure 6. LER simulation results: (a) LER dependency of exposure dose; (b) prediction of LER trends for 5-nm patterns using two values of the deblocking reaction capture range, a = 1.0-nm and 1.5-nm in 2-Dimension and 3-Dimension calculations.
Micromachines 12 01493 g006
Figure 7. Analytical results: (a) electric potentials φ1(0, y) for a FinFET with FWRs; (b) electric potentials φ 1 ( x ,   L / 2 ) of x-distance for a FinFET with FWRs. FWR functions are t 1 = A sin ( 2 π y / L ) and t 2 = A sin ( 2 π y / L ) for fat-fin type, t 1 = A sin ( 2 π y / L ) and t 2 = A sin ( 2 π y / L ) for thin-fin type, t 1 = A cos ( 2 π y / L ) and t 2 = A cos ( 2 π y / L ) for big-source type, and t 1 = A cos ( 2 π y / L ) and t 2 = A cos ( 2 π y / L ) for big-drain typ. Y-direction (or x-direction) means direction from upper source to bottom drain through gate length (or from left gate to right gate through fin width) in Figure 2.
Figure 7. Analytical results: (a) electric potentials φ1(0, y) for a FinFET with FWRs; (b) electric potentials φ 1 ( x ,   L / 2 ) of x-distance for a FinFET with FWRs. FWR functions are t 1 = A sin ( 2 π y / L ) and t 2 = A sin ( 2 π y / L ) for fat-fin type, t 1 = A sin ( 2 π y / L ) and t 2 = A sin ( 2 π y / L ) for thin-fin type, t 1 = A cos ( 2 π y / L ) and t 2 = A cos ( 2 π y / L ) for big-source type, and t 1 = A cos ( 2 π y / L ) and t 2 = A cos ( 2 π y / L ) for big-drain typ. Y-direction (or x-direction) means direction from upper source to bottom drain through gate length (or from left gate to right gate through fin width) in Figure 2.
Micromachines 12 01493 g007
Figure 8. Analytical results of drain currents | Δ I d s | with FWRs at gate length L = 5-nm due to gate voltages ( V g ).
Figure 8. Analytical results of drain currents | Δ I d s | with FWRs at gate length L = 5-nm due to gate voltages ( V g ).
Micromachines 12 01493 g008
Figure 9. Parameter sensitivities: (a) LER; (b) electric potential ( φ 1 ) and drain current ( Δ I d s ). Parameter sensitivities are normalized by the most sensitive parameter. EUVL parameters are secondary electron (SE), exposure dose (Dose), PEB temperature ( T P E B ), and PEB time ( t P E B ). FinFET parameters are gate voltage ( V g ), doping concentration ( N a ), channel length ( L ), oxide thickness ( T i ), fin width ( T s i ), and FWR amplitude ( A ).
Figure 9. Parameter sensitivities: (a) LER; (b) electric potential ( φ 1 ) and drain current ( Δ I d s ). Parameter sensitivities are normalized by the most sensitive parameter. EUVL parameters are secondary electron (SE), exposure dose (Dose), PEB temperature ( T P E B ), and PEB time ( t P E B ). FinFET parameters are gate voltage ( V g ), doping concentration ( N a ), channel length ( L ), oxide thickness ( T i ), fin width ( T s i ), and FWR amplitude ( A ).
Micromachines 12 01493 g009
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Kim, S.-K. Line-Edge Roughness from Extreme Ultraviolet Lithography to Fin-Field-Effect-Transistor: Computational Study. Micromachines 2021, 12, 1493. https://doi.org/10.3390/mi12121493

AMA Style

Kim S-K. Line-Edge Roughness from Extreme Ultraviolet Lithography to Fin-Field-Effect-Transistor: Computational Study. Micromachines. 2021; 12(12):1493. https://doi.org/10.3390/mi12121493

Chicago/Turabian Style

Kim, Sang-Kon. 2021. "Line-Edge Roughness from Extreme Ultraviolet Lithography to Fin-Field-Effect-Transistor: Computational Study" Micromachines 12, no. 12: 1493. https://doi.org/10.3390/mi12121493

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop