Next Article in Journal
Low-Cost Microbolometer Type Infrared Detectors
Next Article in Special Issue
A Novel 4H-SiC MESFET with a Heavily Doped Region, a Lightly Doped Region and an Insulated Region
Previous Article in Journal
Influence of the Nose Radius on the Machining Forces Induced during AISI-4140 Hard Turning: A CAD-Based and 3D FEM Approach
Previous Article in Special Issue
Editorial for the Special Issue on SiC Based Miniaturized Devices
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Progresses in Synthesis and Application of SiC Films: From CVD to ALD and from MEMS to NEMS

1
Instituto de Ciência e Tecnologia (ICT), Universidade Federal de São Paulo (Unifesp), São José dos Campos SP 12231-280, Brazil
2
Laboratório de Plasmas e Processos (LPP), Instituto Tecnológico de Aeronáutica (ITA), São José dos Campos SP 12228-900, Brazil
*
Authors to whom correspondence should be addressed.
Micromachines 2020, 11(9), 799; https://doi.org/10.3390/mi11090799
Submission received: 2 August 2020 / Revised: 18 August 2020 / Accepted: 20 August 2020 / Published: 24 August 2020
(This article belongs to the Special Issue SiC Based Miniaturized Devices, Volume II)

Abstract

:
A search of the recent literature reveals that there is a continuous growth of scientific publications on the development of chemical vapor deposition (CVD) processes for silicon carbide (SiC) films and their promising applications in micro- and nanoelectromechanical systems (MEMS/NEMS) devices. In recent years, considerable effort has been devoted to deposit high-quality SiC films on large areas enabling the low-cost fabrication methods of MEMS/NEMS sensors. The relatively high temperatures involved in CVD SiC growth are a drawback and studies have been made to develop low-temperature CVD processes. In this respect, atomic layer deposition (ALD), a modified CVD process promising for nanotechnology fabrication techniques, has attracted attention due to the deposition of thin films at low temperatures and additional benefits, such as excellent uniformity, conformability, good reproducibility, large area, and batch capability. This review article focuses on the recent advances in the strategies for the CVD of SiC films, with a special emphasis on low-temperature processes, as well as ALD. In addition, we summarize the applications of CVD SiC films in MEMS/NEMS devices and prospects for advancement of the CVD SiC technology.

1. Introduction

An exciting and expanding area of research in materials science involves the development of wide-bandgap (WBG) semiconductor materials that are used to fabricate micro/nanoelectromechanical systems (MEMS/NEMS) for harsh environment sensing applications [1,2]. A goal is to establish synthesis methods that allow controlling the properties of these materials, such as chemistry, physics, and morphology, and consequently, the performance of the sensors and devices constructed with them. The WBG semiconductor materials of current interest for MEMS/NEMS are based on carbon, such as diamond and silicon carbide (SiC), and on the III-nitrides group, such as gallium nitride (GaN) and aluminum nitride (AlN) [3]. SiC has been standing out because of its superior physical and mechanical properties, including wide (tunable) bandgap, low density, high strength and thermal conductivity, structural stability at high environmental temperature, low thermal expansion, high refractive index, high resistance to shocks and chemical inertness, associated to compatibility of its process technology with the silicon MEMS technology, as well as by its well-established synthesis using chemical vapor deposition (CVD) processes [4].
The development of process strategies for CVD synthesis of SiC has been driven by the potential to produce thin and thick films of high-purity and low-density defects and high-quality structured surfaces. In this context, the versatility, quality, and cost-effectiveness of CVD SiC technology for MEMS devices are frequently reported in literature, especially for the fabrication of SiC and SiC/Si suspended membranes and cantilever beams for use in applications, such as pressure sensors, electromechanical resonators, accelerometers, and gyroscopes [5].
Among the various polytypes of SiC, mono- and polycrystalline 3C-SiC have been the most investigated for MEMS applications, being used both as a structural material and as a sacrificial layer to fabricate devices [6]. In the first reports on SiC MEMS, the atmospheric pressure chemical vapor deposition (APCVD) was the technique used for the growth of 3C-SiC epitaxial films. Because of its design and types of precursors, this technique required high temperatures (above 1050 °C), which limited the SiC film growth on a wide range of materials, besides not being suitable for monolithic integration with integrated circuits (ICs) [7]. Driven by these limitations, several efforts have been made to develop methods to synthesize SiC films at lower temperatures than those used in APCVD, such as low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced chemical vapor deposition (PECVD). These methods have enabled the growth of SiC films with uniform thickness, high purity, conformal step coverage, and low cost [8]. Because of advances in PECVD SiC films, studies on the synthesis, properties, and MEMS application of amorphous SiC (a-SiC) have been carried out [9].
Nowadays, CVD SiC films are grown on a variety of substrates, such as silicon, silicon dioxide, SOI (silicon-on-insulator), sapphire, and diamond. In addition to progress in low-temperature CVD processes, atomic layer deposition (ALD) of SiC has been reported more recently [10]. Although substantial challenges permeate the transition from CVD to ALD processes in order to allow the deposition of conforming films on high-aspect-ratio (HAR) nanostructures, great advances have been demonstrated in recent years for devices in thin films of Si-based materials [11]. Currently, there are no known reports of ALD processes for SiC at temperatures compatible with semiconductor device fabrication. However, some research has been carried out in order to adapt the processes of growth of SiO2 or SiNx films to SiC films [11,12]. This review will present in detail all these works.
The ALD technique is similar to CVD but distinguished by the fact that it exposes the substrate to the precursors separately; that is, there is no interaction between the precursors in the reactor volume, only on the substrate surface/wall of the reactor. Thus, the film growth mechanism is self-limiting, i.e., the reactions between the precursor and the substrate surface will automatically cease when all reactive groups on the surface (OH radicals) are filled, thus requiring another precursor for the reaction to continue [13]. Therefore, this technique is categorized by alternately exposing the sample surface to different types of precursor gases and at each exposure approximately one atomic monolayer is deposited, allowing the formation of highly conformal and ultrathin films. In general, two different sources of vapors or precursor gases are used in ALD-based processes. These are sequentially inserted into the reaction chamber where, between each exposure, this is purged with a nonreactive gas or evacuated. This prevents unwanted reactions in the gas phase from being prevented from occurring and in parallel removes byproducts from the ALD chamber. The precursor chemistry is adjusted to guarantee saturation of the surface with the respective precursor molecules subsequently to each half cycle. For example, for the deposition of a monolayer of silicon oxide (SiO2) material, a flow of Si precursor is first inserted; then purging is done; after purging, the oxidizing precursor is inserted, and finally it is purged again. This sequence of steps is called the ALD deposition cycle [14]. ALD processes are commonly divided into two types, purely thermal or plasma-assisted (PEALD). In the case of PEALD, radicals and ions generated during the plasma half-cycle allow the growth reactions of the film to occur at a lower process temperature and short exposure time of the metal precursor [15].
As the critical dimensions of the SiC-based devices continue to decrease [16], the CVD and PECVD processes became hard to integrate due to the possibility of pinch-off in the HAR structures [11]. Thus, turning to ALD can be a potential alternative for SiC-based NEMS devices.
In this review article, the latest progress and trends in CVD synthesis methods of SiC films for fabricating MEMS/NEMS devices are highlighted. First, we present the evolution of the CVD processes used for SiC film growth up to the promising ALD. In the subsequent section, we summarize the MEMS/NEMS devices and sensors based on CVD SiC films.

2. Chemical Vapor Synthesis of SiC Films: From CVD to ALD

CVD is a process for making solids from gases. CVD dates back to the 1950s, when it was first introduced by Union Carbide for the synthetic production of diamonds [17]. Although interest in CVD synthesis of diamond has declined in recent years, interest in CVD techniques has increased for the production of other materials, such as silicon-based materials deposition for use in electronics [18]. In CVD growth, molecular gases are inserted in the reaction chamber where, subsequently, they are dissociated and/or reacted onto the substrate surface in order to grow a film. A wide variety of systems are employed in this film growth technique, which has the following requirements: to be economically viable; to produce high-quality films, i.e., with excellent uniformity, purity of the synthesized material, step coverage and adhesion; high throughput, etc. CVD’s science and technology include special knowledge in numerous fields of science and engineering, namely precursor and growing material chemistry, thermodynamics, technological plasmas, fluid dynamics, radio frequency (RF) power supply technology and characteristics, vacuum technology, and mechanical design [19].
In the CVD process, the reactants originated from precursors undergo surface migration to the reactor/substrate surface sites, where the growth of the film occurs. After the reaction, the byproducts are transported to the outlet of the CVD chamber. In general, CVD processes that occur at low pressure (LPCVD) are carried out in a limited mode of reaction with the surface; that is, this reaction is dependent on substrate temperature following the expression of Arrhenius exp(−Ea/kT), where Ea is the activation energy, k is the Boltzmann constant, and T the temperature [19]. To guarantee the uniformity of the film being grown, it is essential to maintain a uniform temperature over the entire surface of the substrate. Therefore, the influx rate of reactant species to the substrate surface is not so important, as the design of the reactor can generally ensure enough gas feed in all important points of the chamber. For APCVD processes, the reverse is true because as the mass transport is limited, the temperature control is not critical [8]. All reactant species that reach the substrate surface will participate in the film formation reaction [20].
There are several models of CVD reactors and processes, as summarized in Figure 1. In practice, the reactors can be of two types: cold or hot wall, meaning that the reactor walls have lower temperatures or the same order of magnitude as the substrate. They can operate in the atmospheric pressure (APCVD) or sub atmospheric pressure, which is generally in the range of 1 Torr to 10 mTorr. In addition, for LPCVD systems, the growth reaction energy is purely thermal or enhanced with the use of a plasma source. The last type of CVD reactor is termed as plasma-enhanced chemical vapor deposition (PECVD). Another type of CVD reactor operated at low pressure is the metal–organic CVD (MOCVD) that is done by flowing a reactive metal–organic precursor vapors over the heated substrates. Regarding atmospheric and low-pressure CVD processes, it is important to note that they operate at continuous gas feed; that is, as an “analogical type mode”, a fact that creates a series of difficulties in controlling film thickness and conformity on different types of substrates, thus limiting the use of these techniques in applications that require films with ultra-thin thicknesses (below 100 nm). In this sense, the idea of carrying out self-limited reactions of precursors at the surface level has been an ingenious and effective solution, and for that, it is necessary to insert the precursors in the reactor separately so as not to promote the reaction between them in the reactor volume; in other words, the CVD process occurs in a “digital type mode”. This variant of the CVD process is known as atomic layer deposition (ALD), whose main characteristic is to promote self-limited surface reactions, which allow us to obtain conformal coatings with uniformity superior to CVD techniques.
In the ALD technology, there are several modifications of the reactor structure in order to enable its use in low-temperature processes, among which the use of plasma (plasma-enhanced atomic layer deposition, PEALD) stands out [21]. The application determines the choice of process/reactor based on the requirements for substrate material and coating materials, surface morphology, film thickness and uniformity, availability of precursors, and cost [22].
In this context, the CVD-based deposition of SiC films is undoubtedly the fundamental technology that has allowed the advance of SiC-based MEMS/NEMS devices in recent decades [23]. In addition, the growth of high-quality SiC-doped films has enabled the progress of high-performance SiC electronics [23]. Multiple CVD-based deposition methods have been established over the past four decades, including APCVD, LPCVD, and PECVD. Each deposition method has its own set of process parameters and characteristics. SiC thin-film deposition has been most recently reviewed in detail by Wijesundara and Azevedo [7]. Although other review articles and book chapters also cover SiC film deposition technology [24,25,26,27], there are still few reports focused on presenting the progress of CVD techniques for SiC MEMS/NEMS applications. In the next topics, we will present in detail the conventional CVD techniques applied to the synthesis of SiC films, with a special focus on PECVD and ALD-based techniques.

2.1. Atmospheric Pressure Chemical Vapor Deposition

APCVD was a dominant technique for the growth of SiC films during the 1980s and early 1990s, mainly due to the accessibility of APCVD systems [27,28]. With the improvement of LPCVD reactors, the focus was shifted, because it has better control of the film growth in terms of gas phase nucleation and impurity levels. Most of today’s industrial processes are currently based on LPCVD, but APCVD is still being applied in research labs around the world [7].
CVD of SiC films has been carried out by decomposing several organic or organometallic compounds in the most varied types of designed reactors. In the case of APCVD, methyltrichlorosilane (MTS, CH3SiCl3) is the single-source precursor most often used in SiC film synthesis, not only due to the stoichiometry of silicon (Si) and carbon (C) in its molecular structure, but also due to the fact that good quality films are obtained [29,30,31]. Another single-source precursor recently used is the hexamethyldisilane (HMDS, Si2C6H18) [32]. As dual-source precursors are used in APCVD, we can cite the mixture of propane (C3H8) and silane (SiH4) [33] or dichlorosilane (DCS, SiH2Cl2) and trichlorosilane (HCl3Si) [34]. For both cases, a high-purity hydrogen and argon mixture is used as a carrier gas [30].
With regard to the experimental setup, the APCVD system is relatively simple due to the incorporation of few temperature sensitive devices. Basically, it consists of a gas and/or vapor precursor flow injection system, a gas mixing chamber, and a reaction chamber that can be heated or not, as well as an exhaust system to eliminate possible non-reacted precursors and byproducts [35]. In order to avoid contamination of external air by process byproducts, a gas scrubber is often used before the exhaust.
SiC growth rates reported in this kind of CVD reactor are of up to several μm/min [33,34], with the possibility of performing the film doping process with materials of type n or p [34]. We can cite as n-type material used in APCVD SiC as the nitrogen [36] and as p-type material as the boron [30]. In the literature, it is reported that both 3C-SiC epitaxial and polycrystalline films have been deposited by the APCVD technique. It is particularly advantageous for SiC epitaxy, as temperatures in the order of or greater than 1300 °C are normally required for the growth of single SiC crystals on silicon wafer [28].

2.2. Low-Pressure Chemical Vapor Deposition

LPCVD is the most common CVD system applied for the growth of SiC films. Although the deposition rates of SiC films in LPCVD processes are considerably lower compared to APCVD processes (in the order of nm/min), due to the easy scaling of vacuum system and the greater uniformity of temperature distribution in substrate holder, it is possible to cover a larger area of surface coating in LPCVD systems. Due to the vacuum system applied in the LPCVD, it reaches pressures of some orders of magnitude below the atmospheric, a fact that increases vapor phase diffusivity, which in many cases causes the deposition process to be reaction-rate-limited instead of diffusion-limited, increasing uniformity and conformality of the deposited films [25]. Low pressures also reduce the rate of gas phase nucleation and resulting particulates [25]. Furthermore, a LPCVD reactor allows operating more varieties of precursors and reduces the incorporation of impurities in the as-deposited films [28]. The only differences are related to the process reactor that must withstand a high-pressure gradient and the insertion of a vacuum system at the exhaust point of the reactor [37].
Both cold wall reactors, where only the growth substrate and chuck are heated, and hot wall reactors, where the entire reactor is externally heated, have been used for CVD of SiC [25].
Through of LPCVD technique, 3C-SiC epitaxial films were grown on Si wafers [38]. In recent years, LPCVD has become a leading technique for the growth of 3C-SiC polycrystalline films on various substrates, including silicon dioxide (SiO2) [39] and silicon nitride (Si3N4) [40]. SiC films are deposited in LPCVD using dual-source precursors, i.e., one for Si and other for C. Several chemicals have been implemented in this technique, such as SiH4 or DCS as a source of Si and C3H8 or acetylene (C2H2) as a carbon source [41,42,43,44,45,46]. Single-source precursors, including MTS [47], methylsilane (CH6Si) [48,49], diethylsilane ((C2H5)2SiH4)) [50], diethylmethylsilane (DEMS, C5H13Si) [51], tetramethylsilane (TMS, C4H12Si) [52], hexamethyldisilane (HMDS, Si2C6H18) [52,53], ditertiarybutylsilane (C8H18Si) [54], silacyclobutane (C3H6Si) [55], dimethyldichlorosilane (DMDCS, (CH3)2SiCl2) [56], 1,3-disilacyclobutane [57], and 1,3-disilabutane (DSB) [58,59] are also frequently used in the deposition of SiC films via LPCVD.
The SiC doping process can also be performed in the LPCVD process using doping precursors, such as 1,3-disilabutane, nitrogen (N2), ammonia (NH3), etc. [60]. For example, nitrogen-controlled doping has been demonstrated by the addition of N2 or NH3 as the precursor into the feed gases. By varying the dichlorosilane and 1,3-disilabutane fractions in gas mixtures, the residual stress and strain gradient of the polycrystalline SiC films were adjusted [61].

2.3. Metal–Organic Chemical Vapor Deposition

MOCVD is an enhanced variant of CVD that uses metal–organic chemistry for one or more of the precursors. This CVD-based technique has enjoyed steady development since Manasevit’s initial work in 1969 [62]. It is one of the CVD methods frequently applied for the synthesis of SiC films [63], especially for the growth of thick SiC films due to its higher deposition rates (in the order of 40 nm/min) [64]. Thermodynamic effects—the dynamics of the fluids inside the reactor and the reactions in the gas phase and on the substrate surface—have a synergy in the film deposition process by MOCVD. A “cold” wall reactor is usually applied for MOCVD, with the precursors being taken to the reactor/heated substrate by means of a carrier gas. MOCVD usually occurs at high temperatures (700–1100 °C) and reduced to atmospheric pressures (10–760 Torr) [65]. Under such conditions, the rates of surface chemical reactions are much faster than that of mass transport, i.e., the CVD occurs in limited mass transport-based process [65]. The reviews of Thompson et al. [63], Fischer et al. [66], Juergensen et al. [67], Creighton and Parmeter [68], and Lee et al. [69] discuss well the main reactors and growth modes of MOCVD technique.
Some MOCVD SiC processes use single-source precursors, such as DEMS [64,70,71]. No gas carrier or bubbler was thus applied. The films grown at low temperatures (850 and 900 °C) on both substrates showed crystalline 3C-SiC in the (111) orientation [64]. Homoepitaxial SiC films were grown on 3.5° off-oriented (0001) 6H-SiC by MOCVD using bis-trimethylsilylmethane (BTMSM, C7H20Si2) [72].

2.4. Plasma-Enhanced Chemical Vapor Deposition

PECVD is a modification to the CVD system, where the plasma is used to enhance the decomposition of the reactive gas source. In this process, different kinds of ions and radicals formed from chemical reactions in the plasma (mainly electron impact ionization and dissociation) diffuse toward the substrate where chemical surface reactions are promoted leading to film growth. The lower temperatures used in PECVD are its major advantage compared to conventional CVD. The gas and surface reactions occur by thermal activation in CVD, whereas in PECVD the electron temperatures of the order of 2–5 eV is enough for dissociation. This helps the coatings that are difficult to form by CVD due to melting problems, as they can be deposited by PECVD. The main types of plasma sources used in this application are the radiofrequency (rf) discharges, pulsed discharges, and microwave discharges. For more details concerning the PECVD reactors and mechanisms, see references [8,24,73,74].
The growth of SiC has been carried out in conventional PECVD reactors with or without heated substrate holders. Moreover, the low temperatures (between 200–600 °C) during PECVD processes make it feasible to deposit SiC on a variety of materials (e.g., aluminum), which is not possible using APCVD and LPCVD processes. The low deposition temperatures also confirm its potential suitability for some thermal sensitive polymer processing [75]. As hydrogenated precursor gases are used in the SiC growth by CVD processes, significant amounts of hydrogen (free and bonded) are incorporated in SiC films deposited at a low temperature (below 600 °C) by PECVD. The as-deposited low-temperature PECVD SiC films are generally amorphous (a-SiC), and thus post-deposition annealing is required for crystallization. Otherwise, there is the problem of contamination for the grown films. However, this certain method often results in uncontrolled contamination, for example, by oxygen from water vapors easily absorbed on PECVD chamber walls, present in residues of atmosphere after sample loading, and especially in precursor gases due to insufficient cleanness. Particularly, such effect was mentioned in [76] where nonstoichiometric a-SiC films were studied, and even high-purity precursors did not allow to obtain films without oxygen. Such (and other) contamination can reach several at. % and, evidently, substantially influences the films properties. It is important to note that this phenomenology is not exclusive to CVD processes but occurs in physical vapor deposition (PVD) processes as well, when operated at low temperatures, thus forming SiC films containing oxygen and/or nitrogen, that are inserted in growing film unintentionally [77].
On the other hand, crystalline SiC films were deposited by PECVD at temperatures of 650–1000 °C [78]. In recent work, Zhuang et al. reported the growth of three different kinds of 3C-SiC films, namely nanocrystalline, microcrystalline, and epitaxial (001) 3C-SiC films using microwave plasma chemical vapor deposition (MWCVD) techniques [79].
In PECVD of SiC films, methane (CH4) and silane (SiH4) are commonly used as carbon and silicon precursors, respectively [80]. In addition, the synthesis of PECVD SiC films using a single precursor, such as DSB, methylsilane, MTS, or HMDS, have also been reported [26,81,82,83]. Due to the importance of SiC produced by PECVD, a recent theoretical study discussed the reaction of various silicon and carbon precursors with bare 3C-SiC (011) surfaces (where silicon and carbon atoms are exposed) and H-terminated 3C-SiC (011) surfaces and how silane plasma fragments react with H-Si and H-C bonds of the H-terminated 3C-SiC (011) surfaces [84]. From this work, several pathways for ALD of SiC were proposed.
Doped and undoped SiC films can be synthesized by PECVD [85,86]. SiC-based thin films, such as silicon carbon nitride (SiCN), silicon oxycarbide (SiCO), silicon carbon oxynitride (SiCNO), silicon borocarbide (SiCB), silicon borocarbide nitride (SiCBN)s and silicon phosphorus carbide (SiCP), have been extensively investigated to use either as a semiconductor or as an insulator in electronic and MEMS devices. Promising applications in diodes, thin-film transistors (TFTs) and MEMS sensors have been reported [86].
Among the SiC-based films, SiCO and SiCN are extensively studied and present improved properties in comparison with pure SiC material, such as tuned bandgap and Young modulus for MEMS and NEMS applications. Silicon oxycarbide films have been grown predominantly through low-temperature PECVD using an array of silane-based precursors [87]. In the growth of SiCO films by low-temperature PECVD, an array of silane-based precursors have been investigated. However, it has been observed the incorporation of source precursor fragments and decomposition byproducts in the deposited films, which resulted in enhanced stress levels and increased defect density, both of which affecting the optical performance of the materials and device structures. Recently, Lin et al. reported the growth of amorphous SiCxOy by very high-frequency PECVD technique [88]. An extensive review on the synthesis and properties of SiCxOy films is presented in [89]. In addition, SiCN films have been preferentially grown by PECVD methods. Different physical and chemical deposition processes of SiCxNy films and the main results were reviewed in [90]. In the synthesis of SiCxNy films, several precursors have been used, including single-source precursors, such as hexamethyldisilazane, bis(dimethylamino)dimethylsilane, tris(dimethylamino)silane, tris(diethylamino)silane, and multicomponent gas mixtures—SiH(CH3)3 + N2 + H2 + Ar, SiH4 + CH4 + N2, Si(CH3)4 + NH3, etc. [91].

2.5. Atomic Layer Deposition

Although the atomic layer deposition (ALD) technique has been developed in the 1960s and 1970s, it was only after the rise of nanotechnology that it became more investigated, especially to grow high-quality high-k gate dielectrics for applications in transistors and nanoelectronics devices [92]. Nowadays, ALD has emerged as an outstanding technique for processing materials at the atomic level [93,94].
Recently, the articles of Ovanesyan et al. [11] and Filatova et al. [10,84] reviewed and discussed the ALD of SiC films. They stated that this process is extremely challenging for carbide material deposition. In fact, the ALD process is more commonly used for the deposition of oxides, nitrides, and sulfides. The few existing thermal processes for SiC thin-film deposition reported as ALD in the literature were operated in LPCVD reactors at substrate temperatures between 750–1200 °C and by using SiH2Cl2 or Si2H6 as silicon precursor and C2H2 or C2H4 as carbon precursor [95,96,97,98,99,100,101,102,103]. Only the work of Sadayuki et al. that presented a SiC ALD process at a relatively low temperature (590–675 °C) using diethylsilane as a single-source precursor and a growth per cycle (GPC) of 0.1 nm/cycle [103]. On the other hand, the high-temperature processes reported GPC values much greater than 0.2 nm/cycle. This suggests that during the film they may not be self-limiting likely due to the influence of a CVD component.
An important consideration is that there are reports of ALD processes with temperatures greater than those used in thermal decomposition of the surface species created after the reaction of Si2H6, C2H2 and C2H4 precursors [11,104]. In particular, the desorption of H from Si surfaces begins to occur at temperatures above 350 °C and has also been reported that, above 423 °C, methyl adsorbed groups on an Si surface begin to decompose through a mechanism of elimination of H [11,104,105]. This suggests that growth is occurring through pulsed CVD, where the GPC is controlled by the precursor exposure and not by self-limiting surface reactions. Nagasawa et al. did not observe a substantial reduction in the deposition temperature using a chlorosilane precursor [96,97], whereas Sadayuki et al. proposed an alternative approach using a single-source precursor, SiCl2(CH3)2, that contains both Si and C atoms [103]. However, as stated by Ovanesyan et al. [11], it is not clear how a single-source process can be self-limiting, especially at the reported growth temperature.
In order to evaluate possible precursors for a low-temperature SiC ALD process, Filatova et al. used ab initio density-functional theory (DFT) to calculate the Gibbs free energy (ΔG) of reactions for a broad spectrum of Si and C precursors for the low-temperature thermal (400 °C) ALD of SiC [10,11]. Their results suggest that, in terms of thermodynamics, SiH4, Si2H6, or SiH3Cl as silicon precursors combined with C2H2, CCl4, or CHCl3 as carbon precursors are the most promising precursors for the ALD of SiC at 400 °C. Nevertheless, experimental tests showed that some precursors with the most negative ΔG do not lead to ALD of SiC at low temperature [10]. Therefore, in order to activate SiC ALD at low process temperatures required by the current MEMS and NEMS technologies, new chemical routes or silicon and carbon precursors are likely to be needed.
Regarding the industrial applications, initially the ALD was used almost all based on the growth of binary compounds, particularly metal oxide or nitride. It is estimated that more complex materials, such as doped, ternary, and quaternary compounds, will be introduced in a next step [106]. The difficulty of depositing a binary carbide, such as SiC film, using thermal ALD processes have made researchers look for alternative solutions, such as the incorporation of carbon in silicon-based films, such as SiO2, to form ternary mixtures, namely SiOxCy [107,108]. Zhou and Bent reported on the carbosiloxane thin films grown by molecular layer deposition (MLD) using 1,2-bis[(dimethylamino)-dimethylsilyl]ethane (DDSE) and ozone precursors at a process temperature of 110 °C [107]. They demonstrated that the SiOxCy films can be grown in real ALD mode [109]. Moreover, thermal stability studies demonstrate that the films are stable to 400 °C, with little thickness loss even at 600 °C, which is comparable to carbosiloxane films deposited by other techniques. In recent work, Closser et al. reported on MLD of ultrathin films of methylene-bridged silicon oxycarbide using bis(trichlorosilyl)-methane and water as precursors at room temperature [108]. They verified that saturation for both precursors and a constant growth rate of 0.5 ± 0.1 Å/cycle indicate self-limiting MLD. Wang et al. recently investigated the thermal ALD of carbon-doped SiO2 [110]. Precursors with one Si atom and a different number (1, 2, or 3) of Si-CH3 groups, a different number of amino groups (1 or 2), and Si–H bonds were investigated. They found that for the monoamino, di-iso-propylaminomethylsilane (DIPAMS) precursor, good reactivity and high carbon doping could be obtained.

2.6. Plasma-Enhanced Atomic Layer Deposition

Plasmas cannot be used to lower the growth temperature of SiC ALD processes, because unlike SiO2 and SiNx ALD, the reaction of plasmas containing precursors for either silicon or carbon-based precursors do not self-limit and both lead to continuous film growth [10,11]. This in turn necessitates that both the silicon and carbon-based precursor half-cycles are driven thermally.
On the other hand, PEALD has been used successfully to grow SiCxOy and SiCxNy films [111,112,113,114]. Ovanesyan et al. developed a novel process for the ALD of SiCxNy films using a Si2Cl6 and a CH3NH2 plasma. They reported that under self-limiting growth conditions, this ALD process led to SiCxNy films with up to nine atomic percent carbon with a conformality > 95% in 5:1 aspect ratio nanostructures [113]. In recent work, Y.-L. Hsu reported on the deposition of SiCxNy films on the aluminum (Al) substrates using PEALD method and 1,3,5-Trimethyl-1,3,5-trivinylcyclotrisilazane (C9H21N3Si3, VSZ) single-source precursor in order to construct SiCxNy-based resistive switching memory [114]. They demonstrated that the PEALD tool with a simple single-precursor for SiCxNy deposition shows excellent feasibility to be used as functional memory and selector devices, further giving the potential pathway for advanced back end of line (BEOL) process integration.
In sum, the numerous challenges associated with the ALD and PEALD process of pure SiC thin films, as well as the difficulties incorporation of C into SiO2 and SiNx films, means that scientific breakthroughs are needed to enable the ALD of SiC, SiCxNy, and SiCxOy. These scientific breakthroughs could include substantially more reactive silicon precursors and carbon precursors, novel carbon-containing plasmas that do not lead to a-C film growth and incorporate carbon in the proper bonding configuration, or new processes that take advantage of plasma activation or thermal annealing steps.

3. CVD-Based SiC Requirements for Development of MEMS/NEMS Devices

SiC films are being established as materials for MEMS applications. Because of its wide bandgap (2.36 eV for 3C-SiC, 3.26 eV for 4H-SiC, and 3.02 eV for 6H-SiC in comparison with 1.12 eV for silicon), SiC has been used to manufacture electronic devices for operating in harsh environments. SiC devices have exhibited good performance at high temperatures up to 600 °C, while silicon devices, the most consolidated for different applications, operate satisfactorily only at maximum temperatures around 200 °C [115]. Other SiC attractive material characteristics include a high radiation and extreme chemical inertness, while still being RIE etchable, a high thermal conductivity (better than copper), high hardness and elastic modulus (typically ~450 GPa compared with ~130 GPa for Si), and a high critical electric field (in excess of 2 MV cm−1) [8,116]. In MEMS sensor applications, the 3C-SiC is the most investigated and used polytype due to its well-known CVD growth on Si wafers as well as its outstanding properties. Another advantage of the use of CVD 3C-SiC films is the low cost when compared to commercially available SiC wafer. Moreover, they can be in situ p- or n-doped by the different CVD methods, which makes them interesting tunable MEMS materials [8].
In this context, extensive research has been done on growth of SiC-based films at low- or high-temperature CVD processes aiming to produce high-quality films for application not only in the field of MEMS, but also for hard coatings [83], biotechnology [117], chemical sensors [116], and other electronic applications. At high-temperature CVD processes (>500 °C [8]), we can cite the APCVD, LPCVD, and MOCVD methods. Although still underused, the thermal ALD technique falls under the category of high-temperature process since, until now, the ALD SiC process has occurred above 500 °C [11]. At low-temperature processes (<500 °C), PECVD-based techniques are commonly used for crystalline, nano-crystalline, and amorphous SiC film synthesis. In addition, more recently, low-temperature PEALD of SiC-based materials has demonstrated potential for growth of SiC-based materials, such as SiCO and SiCN [111,112,113,114].
One of the most critical problems faced by CVD SiC films for MEMS device applications is the high temperature required to guarantee surface reactions and a good deposition rate. The low-temperature deposition is very important from the point of view of recent device integration. APCVD SiC films have high deposition rates, crystallinity, and stoichiometry [33,34], but uniformity control is not good, since it is a process controlled by mass transport. Both LPCVD and PECVD are performed at low pressure, a fact that allows better control of the uniformity of the SiC film. For LPCVD the higher process temperatures allow obtaining high-quality SiC films with well-controlled material structure, stoichiometry, and pinhole density [118]. However, the high process temperatures place limitations on the types of substrate and other materials that may be present in the samples. On the other hand, at lower temperatures, the deposition rate decreases and auxiliary energy sources, such as RF generators or microwave sources, must be added to the LPCVD reactor to improve the chemical reaction by providing radicals from a self-sustained plasma (PECVD) [8]. In many cases, the quality of as-deposited SiC films at lower temperatures is lower than that observed for high-temperature LPCVD films. An example is the increase in pinhole density. The pinholes in most PECVD processes are mostly caused by the particulate contaminants originated from reactions between precursors and radicals in the plasma–gas phase [119,120,121].
Table 1 lists the mechanical properties of SiC films produced by different CVD methods [9,91,122,123]. As can be seen, the elastic modulus and hardness of the films depend on the type of CVD method used for film deposition. Amorphous SiC and SiCN films have a higher hardness/elastic modulus (H/E) ratio than those observed for single crystal and polycrystalline SiC. The high H/E ratio evidences their high wear resistance indicating that these films may be useful for application in MEMS/NEMS devices.
In relation to electrical properties, some studies devoted to these characteristics investigated the effect of deposition conditions on the electrical resistivity. Figure 2 shows the results of three of these studies, which evaluate the influence of NH3 flow rate [124,125,126], deposition temperature [126], and annealing temperature [124] on the electrical resistivity of CVD SiC films. Low electrical resistivity of the order 0.02 Ω.cm was measured by Wijesundara et al. demonstrating that is possible to achieve effective doping of 3C-SiC film by optimizing NH3 content in the CVD process [124]. Using 17% of NH3 in the LPCVD process, Latha et al. obtained an electrical resistivity of 0.14 Ω·cm and a temperature coefficient of resistance (TCR) of 103 ppm/°C for 3C-SiC films grown on thermally oxidized Si substrates. These results demonstrate the potential of 3C-SiC films to be used as sensing materials in high-temperature applications.
In Figure 3a–e, some micrographs of SiC-based films produced by CVD and ALD are shown, respectively. Comparing Figure 3a,b, it is possible to observe the changes in morphology of the SiC film as a function of the CVD method used, whereas the excellent conformality of the low-temperature SiC film can be observed in Figure 3c. There is no void formation at the SiC/Si interface [59]. In addition, the cross-section micrograph of PECVD a-SiC:H film (Figure 3d) shows that the film is well formed and adhered with no voids and microcracks [9]. Finally, from Figure 3e,f, it is possible to evidence the excellent step coverage of ALD and PEALD SiCxNy films. These techniques are very promising for the advance of future NEMS devices [111,113].

4. Device Application of CVD SiC Films: From MEMS to NEMS

4.1. SiC MEMS

In parallel with the advances in the synthesis of CVD SiC-based films, microelectromechanical systems (MEMS) technology has evolved in device design, material systems, fabrication approaches and expanded its commercial applications, from automotive to consumer electronics, industry, and internet of things (IoT) [127]. MEMS devices have typical dimensions of the order of microns. They can be constituted by simple structures without moving elements or by electromechanical systems with moving elements controlled by microelectronics. Different sensing principles for MEMS devices have been demonstrated, highlighting pressure, temperature, inertial forces, magnetic fields, and chemical species [128].
In the 1990s, the first articles on the use of CVD SiC films in MEMS devices were published, motivated by the need to meet harsh environment applications. One example is the growth of thick CVD SiC layers (10–100 µm) on a silicon micro-turbine rotor, which demonstrated their feasibility as a potential material candidate for the development of MEMS-based micro-gas turbine engine technology (Figure 4a) [129]. In addition, in this period, the SiC surface micromachined micromotors using micromolding and reactive ion etching (RIE) has been described, as well as the fabrication of a SiC wobble micromotor through the flange mold process (Figure 4b) [130].
Regarding the SiC MEMS sensors for high-temperature applications, mainly two types of devices started to be developed using a fabrication process based on 3C-SiC films: (i) pressure sensors of two types: capacitive and piezoresistive and (ii) cantilever resonators.
The general structure of a SiC capacitive pressure sensor was first proposed by Young et al. and consisted of an edge-clamped circular 3C-SiC diaphragm grown by APCVD technique suspended over a sealed cavity on a silicon substrate (Figure 5a). This sensor demonstrated a good performance up to 400 °C. In addition, the authors observed that sensors with different specifications, such as linear ranges, sensitivities, and capacitance values, can be achieved by choosing the proper device geometrical parameters. However, a high residual stress was observed (>200 MPa) in the 3C-SiC film with a thickness of 0.5 µm, which restricts the design of these sensors [131]. In a subsequent paper, Du et al. reported a solution for this problem developing a capacitive pressure sensor based on low-stress heavily doped polycrystalline 3C-SiC films deposited by LPCVD (Figure 5b) [132]. They demonstrated that low-stress nitrogen-doped 3C-SiC films enables the fabrication of diaphragms with greater thicknesses. Besides of single and poly 3C-SiC films, a low-temperature SiC film deposited by PECVD has also been used to fabricate capacitive pressure sensors. Tang et al. reported a complementary metal-oxide semiconductor-compatible absolute capacitive pressure sensor for harsh environmental applications based on this material, which has good sensitivity and linearity [133].
The piezoresistive pressure sensors are, another type of MEMS sensor, commonly developed using CVD SiC films. A high-temperature pressure sensor with 3C-SiC piezoresistors sensing elements produced by LPCVD selective deposition of 3C-SiC on a patterned Si/SiO2 surface was developed by Eickhoff et al. [134]. The contribution of this study was to demonstrate the potential of SOI substrates as a basis for SiC thin-film sensing elements as well as demonstrated that the selective deposition process of sensing elements is a strong simplification in comparison to conventional deposition and structuring techniques. Wu et al. also developed a pressure sensor using 3C-SiC thin-film piezoresistors on a SiO2/Si substrate. However, the 3C-SiC film was deposited by APCVD and the sensor fabrication was carried out using wafer bonding and silicon bulk micromachining techniques [135]. Using a sensor layout similar to the proposed one by Wu et al. [135], Fraga et al. fabricated a piezoresistive pressure sensor consisting of PECVD SiC thin-film piezoresistors on SiO2/Si substrate [136]. This sensor exhibited performance comparable to those observed for crystalline 3C-SiC films. Furthermore, it exhibited a performance satisfactory at temperatures up to 300 °C [137]. In an article published this year, Middelburg et al. proposed a piezoresistive pressure sensor for all-SiC monolithic integration, where the piezoresistive element is an in situ, doped 3C-SiC self-sensing membrane. In this device, the polycrystalline 3C-SiC film was deposited by LPCVD and the surface micromachining was used to fabricate free-standing high topography cavities [138]. They concluded that the self-sensing concept, which enables omission of discrete piezoresistors on the membrane, has advantages, for instance it allows the tailoring of device geometry to match the performance with different applications, and the convenient processing favors the future integration with SiC CMOS, for example for impedance read-out, analog to digital conversion, or signal amplification [138].
In relation to SiC cantilever resonators, Jiang et al. designed, fabricated, and tested Pt electrodes on single crystal 3C-SiC cantilevers and NiCr electrodes on poly-crystalline 3C-SiC cantilevers, Figure 6a,b, respectively. They observed that electrothermal actuation of resonance was achieved for both structures [139]. In another interesting article published in 2012, Jiao et al. proposed an approach using inductively coupled plasma etching (ICP) to fabricate monocrystalline silicon tips on 3C-SiC cantilevers for the elaboration of an entire cantilever for atomic force microscopy (Figure 6c) [140]. In a review article published in 2016, Wood et al. summarized the literature on 3C-SiC resonators concluding that they are good alternatives to Si for developing MEMS-based oscillators [141]. Recent publications on 3C-SiC MEMS resonators report the fabrication and testing of monocrystalline 3C-SiC on SOI electrostatic MEMS resonators [142] and a highly doped 3C-SiC bridge resonator [143].
PECVD SiC films have also been used for the fabrication of thermally actuated MEMS. These devices, actuated by thermal expansion induced by Joule effect consisting of matrixes of free-standing a-SiC:H and a-SiC:H/SiOxNy, cantilevers were developed by Rehder and Carreno [144].
In addition to publications on the development of different MEMS devices based on CVD SiC films, some articles have presented and discussed new fabrication methods. For example, Phan et al. described a new method to fabricate SiC MEMS structures, such as cantilever and self-sensing membrane, which consists of applying the lithography process directly on robust free-standing SiC sub 100 nm-thick membranes making possible to replace the conventional double-sided alignment by single-sided alignment only [145].
A recent publication on SiC MEMS reports a monolithic implantable neural interface consisting of a Michigan-style 3C-SiC microelectrode array (MEA) probe formed by p-type 3C-SiC epilayer grown on a SOI wafer, which was followed by a ~2 µm-thick epilayer of heavily n-type (n+) 3C-SiC. In this device, a thin layer of PECVD a-SiC is used to insulate the surface of the probe from the external environment [146].

4.2. SiC NEMS

In early 2000s, nanoelectromechanical systems (NEMS), a promising technology based on the MEMS has emerged to enable the fabrication of devices with at least one dimension smaller than 100 nm [147]. The continuous miniaturization of MEMS into the NEMS has allowed the development of nanodevices and nanosensors with new capabilities or improved performance, such as increases in resonance frequency and improvements in force, mass, and displacement sensitivity [148]. In 2002, Zorman and Mehregany presented an overview of the fabrication of SiC MEMS and NEMS structures in an interesting review [149]. The focus of their review was addressing the issues of bulk micromachining and surface micromachining of SiC, which hindered its use in MEMS applications. They concluded that the material characteristics that make the fabrication of SiC MEMS devices difficult made SiC a promising candidate for the fabrication of NEMS. Another article by the same authors discusses the synthesis of SiC films for MEMS/NEMS using APCVD and LPCVD deposition systems to deposit single crystalline and polycrystalline 3C-SiC films for bulk and surface micromachined devices [150]. In a subsequent review article, Zorman and Parro discussed the development of MEMS and NEMS technologies that incorporate SiC as a key component in their mechanical structure [151].
In general, the literature about NEMS technology based on CVD SiC films shows that resonators and switches are the most investigated devices to date. In 2009, He et al. reported 3C-SiC NEMS switches capable of operation from 25 to 600 °C [152]. In subsequent publications, the same research group demonstrated promising operations toward robust long-lifetime poly-SiC NEMS switches with very small dimensions [153] and a four-terminal nanoscale electromechanical switches with a novel dual-gate design in a lateral configuration based on polycrystalline 3C-SiC nanocantilevers [154].
Recently, Feng discussed the progress and emerging frontiers of resonant NEMS [155]. Two examples of SiC NEMS resonators were presented: (i) vibrating SiC nanobeams were used to demonstrate the first microwave frequency NEMS with fundamental mode flexural resonance f0 > 1 GHz and (ii) SiC NEMS resonators were built with excellent stability and phase noise.

5. Final Remarks

The trends and perspectives of the MEMS/NEMS technology involves the integration of all components onto a single substrate and the development of WBG materials in order to meet applications in harsh environments. The inherent advantages of SiC-based materials have demonstrated their strong potential to drive progress in MEMS/NEMS technologies in the forthcoming years. First, the well-controlled synthesis of SiC thick and thin films by CVD with possibilities of tuning their excellent properties by only varying deposition parameters. Second, their compatibility with conventional MEMS fabrication technologies, which make them more competitive than other WBG materials. Recent advances in CVD and ALD techniques for synthesis of SiC films, as well as the use of these materials in MEMS/NEMS applications, have motivated the writing of this review. A good overview of the research carried out in this field was done. We presented and discussed the main CVD techniques and different MEMS/NEMS devices reported in the literature. Overall, CVD SiC films appear to fulfill the requirements of MEMS/NEMS technologies.

Author Contributions

Conceptualization, M.F. and R.P.; writing—original draft preparation, M.F. and R.P.; writing—review and editing, M.F. and R.P. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by CNPq (grant number 421317/2018-3, 437921/2018-2 and 303818/2018-2) and FAPESP (grant number 2014/18139-8 and 2018/01265-1).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Guo, X.; Xun, Q.; Li, Z.; Du, S. Silicon Carbide Converters and MEMS Devices for High-temperature Power Electronics: A Critical Review. Micromachines 2019, 10, 406. [Google Scholar] [CrossRef] [Green Version]
  2. Fraga, M.A.; Furlan, H.; Pessoa, R.S.; Massi, M. Wide bandgap semiconductor thin films for piezoelectric and piezoresistive MEMS sensors applied at high temperatures: An overview. Microsyst. Technol. 2014, 20, 9–21. [Google Scholar] [CrossRef]
  3. Fraga, M.A.; Bosi, M.; Negri, M. Silicon carbide in microsystem technology—Thin Film versus bulk material. In Advanced Silicon Carbide Devices and Processing, 1st ed.; Saddow, S., la Via, F., Eds.; InTech: Rijeka, Croatia, 2015; pp. 1–31. [Google Scholar]
  4. Jiang, L.; Cheung, R. A review of silicon carbide development in MEMS applications. Int. J. Comput. Mater. Sci. Surf. Eng. 2009, 2, 225–240. [Google Scholar] [CrossRef] [Green Version]
  5. Wijesundara, M.B.J.; Azevedo, R.G. SiC MEMS devices. In Silicon Carbide Microsystems for Harsh Environments; Part of the MEMS Reference Shelf Book Series; Springer: New York, NY, USA, 2011; Volume 22, pp. 125–165. [Google Scholar] [CrossRef]
  6. Zorman, C.A. Silicon carbide as a material for biomedical microsystems. In Proceedings of the Symposium on Design, Test, Integration & Packaging of MEMS/MOEMS, Rome, Italy, 1–3 April 2009; IEEE: New York, NY, USA, 2009. [Google Scholar]
  7. Wijesundara, M.B.J.; Azevedo, R.G. SiC materials and processing technology. In Silicon Carbide Microsystems for Harsh Environments; Part of the MEMS Reference Shelf Book Series; Springer: New York, NY, USA, 2011; Volume 22, pp. 33–95. [Google Scholar] [CrossRef]
  8. Stoffel, A.; Kovacs, A.; Kronast, W.; Mueller, B. LPCVD against PECVD for micromechanical applications. J. Micromech. Microeng. 1996, 6, 1. [Google Scholar] [CrossRef]
  9. Du, J.; Singh, N.; Summers, J.B.; Zorman, C.A. Development of PECVD SiC for MEMS using 3MS as the precursor. MRS Proc. 2006, 919, 0911-B05-28. [Google Scholar] [CrossRef]
  10. Filatova, E.A.; Hausmann, D.; Elliott, S.D. Investigating Routes towards Atomic Layer Deposition of Silicon Carbide: Ab initio Screening of Potential Silicon and Carbon Precursors. J. Vac. Sci. Technol. A 2017, 35, 01B103. [Google Scholar] [CrossRef]
  11. Ovanesyan, R.A.; Filatova, E.A.; Elliott, S.D.; Hausmann, D.M.; Smith, D.C.; Agarwal, S. Atomic layer deposition of silicon-based dielectrics for semiconductor manufacturing: Current status and future outlook. J. Vac. Sci. Technol. A 2019, 37, 060904. [Google Scholar] [CrossRef]
  12. King, S. Plasma enhanced atomic layer deposition of SiNx:H and SiO2. J. Vac. Sci. Technol. A 2011, 29, 041501. [Google Scholar] [CrossRef]
  13. Johnson, R.W.; Hultqvist, A.; Bent, S.F. A brief review of atomic layer deposition: From fundamentals to applications. Mater. Today 2014, 17, 236–246. [Google Scholar] [CrossRef]
  14. Putkonen, M.; Niinistö, L. Organometallic precursors for atomic layer deposition. In Precursor Chemistry of Advanced Materials. Topics in Organometallic Chemistry, 1st ed.; Fischer, R.A., Ed.; Springer: Berlin, Germany, 2005; Volume 9, pp. 125–145. [Google Scholar] [CrossRef]
  15. 0Profijt, H.B.; Potts, S.E.; van de Sanden, M.C.M.; Kessels, W.M.M. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges. J. Vac. Sci. Technol. A 2011, 29, 050801. [Google Scholar] [CrossRef] [Green Version]
  16. Quinn, C.A.; Dalal, D.B. Empowering the Electronics Industry A Power Technology Roadmap. CPSS Trans. Power Electron. Appl. 2017, 2, 306–319. [Google Scholar] [CrossRef]
  17. Eversole, W.G. Synthesis of Diamond. U.S. Patent No. 3030188, 17 April 1962. [Google Scholar]
  18. Fauzi, F.B.; Ismail, E.; Ani, M.H.; Bakar, S.N.S.A.; Mohamed, M.A.; Majlis, B.Y.; Md Din, M.F.; Abid, M.A.A.M. A critical review of the effects of fluid dynamics on graphene growth in atmospheric pressure chemical vapor deposition. J. Mater. Res. 2018, 33, 1088–1108. [Google Scholar] [CrossRef]
  19. Eranen, S. Thin films on silicon: Silicon dioxide. In Handbook of Silicon Based MEMS Materials and Technologies, 3rd ed.; Tilli, M., Paulasto-Krockel, M., Petzold, M., Theuss, H., Motooka, T., Lindroos, V., Eds.; Elsevier: Amsterdam, The Netherlands, 2020; pp. 133–213. [Google Scholar] [CrossRef]
  20. Wolf, S.; Tauber, R. Silicon Processing for the VLSI Era Volume 1, 2nd ed.; Lattice Press: Sunset Beach, CA, USA, 2000. [Google Scholar]
  21. Knoops, H.C.M.; Faraz, T.; Arts, K.; Kessels, W.M.M. Status and prospects of plasma-assisted atomic layer deposition. J. Vac. Sci. Technol. A 2019, 37, 030902. [Google Scholar] [CrossRef] [Green Version]
  22. Abegunde, O.O.; Akinlabi, E.T.; Oladijo, O.P.; Akinlabi, S.; Ude, A.U. Overview of thin film deposition techniques. AIMS Mater. Sci. 2019, 6, 174–199. [Google Scholar] [CrossRef]
  23. Maboudian, R.; Carraro, C.; Senesky, D.G.; Roper, C.S. Advances in silicon carbide science and technology at the micro- and nanoscales. J. Vac. Sci. Technol. A 2013, 31, 050805. [Google Scholar] [CrossRef]
  24. Pessoa, R.S.; Medeiros, H.S.; Fraga, M.A.; Galvão, N.K.A.M.; Sagas, J.C.; Maciel, H.S.; Massi, M.; da Silva Sobrinho, A.S. Low Pressure Deposition Techniques of Silicon Carbide Thin Films: An Overview. Advances in Materials Science Research, 1st ed.; Nova Science Publishers: New York, NY, USA, 2013; Volume 16, pp. 249–266. [Google Scholar]
  25. Mehregany, M.; Zorman, C.A.; Roy, S.; Fleischman, A.J.; Wu, C.-H.; Rajan, N. Silicon carbide for microelectromechanical systems. Int. Mater. Rev. 2000, 45, 85–108. [Google Scholar] [CrossRef]
  26. Pessoa, R.S.; Fraga, M.A.; Santos, L.V.; Massi, M.; Maciel, H.S. Nanostructured thin films based on TiO2 and/or SiC for use in photoelectrochemical cells: A review of the material characteristics, synthesis and recent applications. Mater. Sci. Semicond. Process 2015, 29, 56–68. [Google Scholar] [CrossRef]
  27. Zorman, C.A.; Fu, X.A.; Mehregany, M. Deposition techniques for SiC MEMS. In Silicon Carbide MEMS for Harsh Environments, 1st ed.; Cheung, R., Ed.; Imperial College Press: London, UK, 2006; pp. 18–45. [Google Scholar] [CrossRef]
  28. Yang, N.; Jiang, X. Cubic silicon carbide: Growth, properties, and electrochemical applications. In Novel Carbon Materials and Composites: Synthesis, Properties and Applications, 1st ed.; Jiang, J., Kang, Z., Guo, X., Zhuang, H., Eds.; John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2019; pp. 1–33. [Google Scholar] [CrossRef]
  29. Papasouliotis, G.D.; Sotirchos, S.V. Experimental study of atmospheric pressure chemical vapor deposition of silicon carbide from methyltrichlorosilane. J. Mater. Res. 1999, 14, 3397–3409. [Google Scholar] [CrossRef]
  30. Schillinger, K.; Janz, S.; Reber, S. Atmospheric Pressure Chemical Vapour Deposition of 3C-SiC for Silicon Thin-Film Solar Cells on Various Substrates. J. Nanosci. Nanotechnol. 2011, 11, 8108–8113. [Google Scholar] [CrossRef]
  31. Funato, Y.; Sato, N.; Fukushima, Y.; Sugiura, H.; Momose, T.; Shimogaki, Y. Fundamental Evaluation of Gas-Phase Elementary Reaction Models for Silicon Carbide Chemical Vapor Deposition. ECS J. Solid State Sci. Technol. 2017, 6, P399–P404. [Google Scholar] [CrossRef]
  32. Kim, K.-S.; Chung, G.-S. Mechanical properties of in-situ doped polycrystalline 3C-SiC thin films by APCVD. J. Korean Inst. Electr. Electron. Mater. Eng. 2009, 22, 235. [Google Scholar]
  33. Zorman, C.A.; Fleischman, A.J.; Dewa, A.S.; Mehregany, M.; Jacob, C.; Nishino, S.; Pirouz, P. Epitaxial growth of 3C-SiC films on 4 in diam (100) silicon wafers by atmospheric pressure chemical vapor deposition. J. Appl. Phys. 1995, 78, 5136–5138. [Google Scholar] [CrossRef]
  34. Otani, M.; Takahashi, T.; Habuka, H.; Ishida, Y.; Ikeda, S.-I.; Hara, S. Quartz Crystal Microbalances for Evaluating Gas Motion Differences between Dichlorosilane and Trichlorosilane in Ambient Hydrogen in a Slim Vertical Cold Wall Chemical Vapor Deposition Reactor. Adv. Chem. Eng. Sci. 2020, 10, 190–200. [Google Scholar] [CrossRef]
  35. Powell, M.J.; Parkin, I.P. Titania coated mica via chemical vapour deposition, post N-doped by liquid ammonia treatment. Phys. Procedia 2013, 46, 111–117. [Google Scholar] [CrossRef]
  36. Bauer, A.J.; Friedrichs, P.; Krieger, M.; Pensl, G.; Rupp, R.; Seyller, T. Characteristics of Porous 3C-SiC Thin Films Formed with Nitrogen Doping Concentrations. Mater. Sci. Forum 2010, 645–648, 391–394. [Google Scholar]
  37. Alarcón-Salazar, J.; López-Estopier, R.; Quiroga-González, E.; Morales-Sánchez, A.; Pedraza-Chávez, J.; Zaldívar-Huerta, I.E.; Aceves-Mijares, M. Silicon-rich oxide obtained by low-pressure chemical vapor deposition to develop silicon light sources. In Chemical Vapor Deposition—Recent Advances and Applications in Optical, Solar Cells and Solid State Devices, 1st ed.; Neralla, S., Ed.; InTech: Rijeka, Croatia, 2016; pp. 159–181. [Google Scholar]
  38. Krotz, G.; Legner, W.; Wapner, C.; Moller, H.; Sonntag, H.; Muller, G. Silicon carbide as a mechanical material. In Proceedings of the 8th International Conference Solid State Sensors Actuators—Eurosensors IX, Stockholm, Sweden, 25–29 June 1995; IEEE: New York, NY, USA, 1995. [Google Scholar]
  39. Wright, N.; Johnson, C.M.; Johnson, K.; Vassilevski, I.; Nikitina, A. Horsfall, Heavily Doped Polycrystalline 3C-SiC Growth on SiO2/Si (100) Substrates for Resonator Applications. Mater. Sci. Forum 2007, 556–557, 179–182. [Google Scholar]
  40. Locke, C.W.; Severino, A.; La Via, F.; Reyes, M.; Register, J.; Saddow, S.E. SiC films and coatings. In Silicon Carbide Biotechnology, 1st ed.; Saddow, S.E., Ed.; Elsevier: Amsterdam, The Netherlands; Volume 61, pp. 17–61. [CrossRef]
  41. Roper, C.S.; Carraro, C.; Howe, R.T.; Maboudian, R. Silicon Carbide Thin Films using 1,3-Disilabutane Single Precursor for MEMS Applications—A Review. ECS Trans. 2006, 3, 267. [Google Scholar]
  42. Liaw, P.; Davis, R.F. Epitaxial Growth and Characterization of β-SiC Thin Films. J. Electrochem. Soc. 1985, 132, 642. [Google Scholar] [CrossRef]
  43. Nishino, S.; Hazuki, Y.; Matsunami, H.; Tanaka, T. Chemical Vapor Deposition of Single Crystalline β-SiC Films on Silicon Substrate with Sputtered SiC Intermediate Layer. J. Electrochem. Soc. 1980, 127, 2674. [Google Scholar] [CrossRef]
  44. Fu, X.-A.; Dunning, J.L.; Mehregany, M.; Zorman, C.A. Low Stress Polycrystalline SiC Thin Films Suitable for MEMS Applications. J. Electrochem. Soc. 2011, 158, H675. [Google Scholar] [CrossRef]
  45. Yagi, K.; Nagasawa, H. 3C-SiC growth by alternate supply of SiH2Cl2 and C2H2. J. Cryst. Growth 1997, 174, 653–657. [Google Scholar] [CrossRef]
  46. Wang, C.-F.; Tsai, D.-S. Low pressure chemical vapor deposition of silicon carbide from dichlorosilane and acetylene. Mater. Chem. Phys. 2000, 63, 196. [Google Scholar] [CrossRef]
  47. Lien, W.-C.; Ferralis, N.; Carraro, C.; Maboudian, R. Growth of Epitaxial 3C-SiC Films on Si(100) via Low Temperature SiC Buffer Layer. Cryst. Growth Des. 2010, 10, 36–39. [Google Scholar] [CrossRef]
  48. Liu, F.; Carraro, C.; Chu, J.R.; Maboudian, R. Residual stress characterization of polycrystalline β-SiC films on Si(100) deposited from methylsilane. J. Appl. Phys. 2009, 106, 013505. [Google Scholar] [CrossRef]
  49. Liu, C.W.; Sturm, J.C. Low temperature chemical vapor deposition growth of β-SiC on (100) Si using methylsilane and device characteristics. J. Appl. Phys. 1997, 82, 4558. [Google Scholar] [CrossRef] [Green Version]
  50. Avigal, Y.; Schieber, M.; Levin, R. The growth of hetero-epitaxial SiC films by pyrolysis of various alkyl-silicon compounds. J. Cryst. Growth 1974, 24–25, 188–192. [Google Scholar] [CrossRef]
  51. Lim, D.C.; Jee, H.G.; Kim, J.W.; Moon, J.S.; Lee, S.B.; Choi, S.S.; Boo, J.H. Deposition of epitaxial silicon carbide films using high vacuum MOCVD method for MEMS applications. Thin Solid Film. 2004, 459, 7–12. [Google Scholar] [CrossRef]
  52. Lee, B.-T.; Kim, D.-K.; Moon, C.-K.; Kim, J.K. Microstructural investigation of low temperature chemical vapor deposited 3C-SiC/Si thin films using single-source precursors. J. Mater. Res. 1999, 14, 24–28. [Google Scholar] [CrossRef]
  53. Takahashi, K.; Nishino, S.; Saraie, J. Low-temperature growth of 3C-SiC on Si substrate by chemical vapor deposition using hexamethyldisilane as a source material. J. Electrochem. Soc. 1992, 139, 3565. [Google Scholar] [CrossRef]
  54. Grow, J.M.; Levy, R.A.; Bhaskaran, M.; Boeglin, H.J.; Shalvoy, R. Low pressure chemical vapor deposition of silicon carbide from ditertiarybutylsilane. J. Electrochem. Soc. 1993, 140, 3001. [Google Scholar] [CrossRef]
  55. Steckl, A.J.; Yuan, C.; Li, J.P.; Loboda, M.J. Growth of crystalline 3C-SiC on Si at reduced temperatures by chemical vapor deposition from silacyclobutane. Appl. Phys. Lett. 1993, 63, 3347. [Google Scholar] [CrossRef]
  56. Jacobson, K.A. Growth, Texture, and Surface Morphology of SiC Layers. J. Electrochem. Soc. 1971, 118, 1001. [Google Scholar] [CrossRef]
  57. Larkin, D.J.; Interrante, L.V. Chemical vapor deposition of silicon carbide from 1,3-disilacyclobutane. Chem. Mater. 1992, 4, 22–24. [Google Scholar] [CrossRef]
  58. Boo, J.H.; Yu, K.S.; Kim, Y.; Yeon, S.H.; Jung, I.N. Growth of cubic SiC films using 1,3-disilabutane. Chem. Mater. 1995, 7, 694–698. [Google Scholar] [CrossRef]
  59. Stoldt, C.R.; Carraro, C.; Ashurst, W.R.; Gao, D.; Howe, R.T.; Maboudian, R. A low-temperature CVD process for silicon carbide MEMS. Sens. Actuators A 2002, 97–98, 410–415. [Google Scholar] [CrossRef]
  60. Clavaguera-Mora, M.T.; Rodriguez-Viejo, J.; El Felk, Z.; Hurtós, E.; Berberich, S.; Stoemenos, J.; Clavaguera, N. Growth of SiC films obtained by LPCVD. Diam. Relat. Mater. 1997, 6, 1306–1310. [Google Scholar] [CrossRef]
  61. Roper, C.S.; Howe, R.T.; Maboudian, R. Stress control of polycrystalline 3C-SiC films in a large-scale LPCVD reactor using 1,3-disilabutane and dichlorosilane as precursors. J. Micromech. Microeng. 2006, 16, 2736–2739. [Google Scholar] [CrossRef] [Green Version]
  62. Manasevit, H.M.; Simpson, W.I. The Use of Metal-Organics in the Preparation of Semiconductor Materials: I. Epitaxial Gallium-V Compounds. J. Electrochem. Soc. 1969, 116, 1725. [Google Scholar] [CrossRef]
  63. Thompson, A.G. MOCVD technology for semiconductor. Mater. Lett. 1997, 30, 255–263. [Google Scholar] [CrossRef]
  64. Beisenov, R.; Ebrahim, R.; Mansurov, Z.A.; Tokmoldin, S.Z.; Mansurov, B.Z.; Ignatiev, A. Growth of 3C-SiC Films on Si (111) and Sapphire (0001) Substrates by MOCVD. Eurasian Chem. Technol. J. 2013, 15, 25–29. [Google Scholar] [CrossRef] [Green Version]
  65. Zuo, R.; Zhang, H.; Liu, X. Transport phenomena in radial flow MOCVD reactor with three concentric vertical inlets. J. Cryst. Growth 2006, 293, 498–508. [Google Scholar] [CrossRef]
  66. Fischer, R.A. Precursor Chemistry of Advanced Materials. Topics in Organometallic Chemistry; Springer: Berlin, Germany, 2005. [Google Scholar] [CrossRef]
  67. Juergensen, H. MOCVD technology in research, development and mass production. Mater. Sci. Semicond. Process. 2001, 4, 467–474. [Google Scholar] [CrossRef]
  68. Creighton, J.R.; Parmeter, J.E. Metal CVD for microelectronic applications: An examination of surface chemistry and kinetics. Crit. Rev. Solid State Mater. Sci. 2006, 18, 175–237. [Google Scholar] [CrossRef]
  69. Lee, D.H.; Sim, Y.; Wang, J.; Kwon, S.-Y. Metal–organic chemical vapor deposition of 2D van der Waals materials—The challenges and the extensive future opportunities. APL Mater. 2020, 8, 030901. [Google Scholar] [CrossRef] [Green Version]
  70. Lim, D.; Kang, B.; Moon, J.; Moon, O.; Park, J.; Jee, H.; Lee, S.; Kim, Y.; Lee, J.; Boo, J. Enhanced hardness in two-layer a-BON/nc-SiC coating prepared by plasma-assisted MOCVD and thermal MOCVD. Surf. Coat. Technol. 2005, 193, 162–166. [Google Scholar] [CrossRef]
  71. Jung, C.-K.; Lim, D.-C.; Jee, H.-G.; Park, M.-G.; Ku, S.-J.; Yu, K.-S.; Hong, B.; Lee, S.-B.; Boo, J.-H. Hydrogenated amorphous and crystalline SiC thin films grown by RF-PECVD and thermal MOCVD; comparative study of structural and optical properties. Surf. Coat. Technol. 2003, 171, 46–50. [Google Scholar] [CrossRef]
  72. Jeong, J.K.; Na, H.J.; Choi, J.; Hwang, C.S.; Kim, H.J.; Bahng, W. Homoepitaxial growth of 6H-SiC thin films by metal-organic chemical vapor deposition using bis-trimethylsilymethane precursor. J. Cryst. Growth 2000, 210, 629–636. [Google Scholar] [CrossRef]
  73. Fraga, M.A.; Pessoa, R.S.; Maciel, H.S.; Massi, M. Recent developments on silicon carbide thin films for piezoresistive sensors applications. In Silicon Carbide—Materials, Processing and Applications in Electronic Devices; Mukherjee, M., Ed.; InTech: Rijeka, Croatia, 2011; pp. 369–388. [Google Scholar]
  74. Martinu, L.; Poitras, D. Plasma Deposition of Optical Films and Coatings. J. Vac. Sci. Technol. A Vac. Surf. Film. 2000, 18, 2619–2645. [Google Scholar] [CrossRef]
  75. Arango-Ospina, M.; Xie, F.; Gonzalo-Juan, I.; Riedel, R.; Ionescu, E.; Boccaccini, A.R. Review: Silicon oxycarbide based materials for biomedical applications. Appl. Mater. Today 2020, 18, 100482. [Google Scholar] [CrossRef]
  76. Lukianov, A.N.; Klyui, N.I.; Sha, B.; Lozinskii, V.B.; Temchenko, V.P.; Avksentyeva, L.V.; Staschuk, V.S. Effect of discharge power and silicon content on optical and mechanical properties of carbon-rich amorphous silicon carbide films obtained by PECVD. J. Alloys Compd. 2019, 801, 285–294. [Google Scholar] [CrossRef]
  77. Medeiros, H.S.; Pessoa, R.S.; Sagas, J.C.; Fraga, M.A.; Santos, V.; Maciel, H.S.; Massi, M.; da Silva Sobrinho, A.S.; Costa, M.E.H.M. Effect of nitrogen content in amorphous SiCxNyOz thin films deposited by low temperature reactive magnetron co-sputtering technique. Surf. Coat. Technol. 2011, 206, 1787–1795. [Google Scholar] [CrossRef] [Green Version]
  78. Bau, S.; Janz, S.; Kieliba, T.; Schetter, C.; Reber, S.; Lutz, F. Application of PECVD SiC as Intermediate Layer in Crystalline Silicon Thin-Film Solar Cells. In Proceedings of the 3rd World Conference on Photovoltaic Energy Conversion, Osaka, Japan, 11–18 May 2003; IEEE: New York, NY, USA, 2003. [Google Scholar]
  79. Zhuang, H.; Yang, N.; Zhang, L.; Fuchs, R.; Jiang, X. Electrochemical properties and applications of nanocrystalline, microcrystalline, and epitaxial cubic silicon carbide films. ACS Appl. Mater. Inter. 2015, 7, 10886–10895. [Google Scholar] [CrossRef] [PubMed]
  80. Pelegrini, M.V.; Rehder, G.P.; Pereyra, I. a-SiC:H films deposited by PECVD for MEMS applications. Phys. Status Solidi C 2010, 7, 786–789. [Google Scholar] [CrossRef]
  81. Klumpp, A.; Schaber, U.; Offereins, H.L.; Sandmaier, H.; Kühl, K. Amorphous SiC and its application in silicon micromachining. Sens. Actuat. A-Phys. 1994, 41–42, 310–316. [Google Scholar] [CrossRef]
  82. Cho, N.-I.; Vlaskina, S.; Kim, C.K. Deposition of SiC thin films by PECVD. J. Korean Phys. Soc. 1999, 34, S555–S557. [Google Scholar]
  83. Pessoa, R.S.; Fraga, M.A.; Santos, L.V.; Galvão, N.K.A.M.; Maciel, H.S.; Massi, M. Plasma-assisted techniques for growing hard nano-structured coatings: An overview. In Anti-Abrasive Nanocoatings: Current and Future Applications, 1st ed.; Aliofkhazraei, M., Ed.; Woodhead Publishing: Cambridge, UK, 2014; pp. 455–479. [Google Scholar]
  84. Filatova, E.; Hausmann, D.; Elliott, S. Understanding the mechanism of SiC plasma-enhanced chemical vapour deposition (PECVD) and developing routes towards SiC atomic layer deposition (ALD) with density functional theory. ACS Appl. Mater. Inter. 2018, 10, 15216–15225. [Google Scholar] [CrossRef]
  85. Henry, A.; Hassan, J.; Bergman, J.P.; Hallin, C.; Janzén, E. Thick silicon carbide homoepitaxial layers grown by CVD techniques. Chem. Vap. Depos. 2006, 12, 475–482. [Google Scholar] [CrossRef]
  86. Fraga, M.A.; Pessoa, R.S.; Massi, M.; Maciel, H.S. Applications of SiC-based thin films in electronic and MEMS devices. In Physics and Technology of Silicon Carbide Devices, 1st ed.; Hijikata, Y., Ed.; InTech: Rijeka, Croatia, 2012; pp. 313–336. [Google Scholar]
  87. Kim, Y.H.; Hwang, M.S.; Kim, H.J.; Kim, J.Y.; Lee, Y. Infrared spectroscopy study of low dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films. J. Appl. Phys. 2001, 90, 3367. [Google Scholar] [CrossRef]
  88. Lin, Z.; Guo, Y.; Song, J.; Zhang, Y.; Song, C.; Wang, X.; Huang, R. Effect of thermal annealing on the blue luminescence of amorphous silicon oxycarbide films. J. Non-Cryst. Solids 2015, 428, 184–188. [Google Scholar] [CrossRef] [Green Version]
  89. Gallis, S.; Nikas, V.; Kaloyeros, A.E. Silicon oxycarbide thin films and nanostructures: Synthesis, properties and applications. In Modern Technologies for Creating the Thin-Film Systems and Coatings; Nikitenkov, N.N., Ed.; InTech: Rijeka, Croatia, 2017; pp. 277–302. [Google Scholar]
  90. Hoffmann, P.; Fainer, N.; Kosinova, M.; Baake, O.; Ensinger, W. Compilation on synthesis, characterization and properties of silicon and boron carbonitride films. In Silicon Carbide—Materials, Processing and Applications in Electronic Devices; Mukherjee, M., Ed.; InTech: Rijeka, Croatia, 2011; pp. 487–546. [Google Scholar]
  91. Ermakova, E.; Rumyantsev, Y.; Shugurov, A.; Panin, A.; Kosinova, M. PECVD synthesis, optical and mechanical properties of silicon carbon nitride films. Appl. Surf. Sci. 2015, 339, 102–108. [Google Scholar] [CrossRef]
  92. Chen, R.; Li, Y.-C.; Cai, J.-M.; Cao, K.; Lee, H.-B.-R. Atomic Level Deposition to Extend Moore’s Law and beyond. Int. J. Extrem. Manuf. 2020, 2, 022002. [Google Scholar] [CrossRef]
  93. Pessoa, R.S.; Fraga, M.A.; Chiappim, W.; Maciel, H.S. Exploring the properties and fuel cell applications of ultrathin atomic layer deposited metal oxide films. In Emerging Materials for Energy Conversion and Storage, 1st ed.; Impellizzeri, G., Ed.; Elsevier: Amsterdam, The Netherlands, 2018; pp. 83–114. [Google Scholar]
  94. Pessoa, R.S.; Fraga, M.A. Biomedical applications of ultrathin atomic layer deposited metal oxide films on polymeric materials. In Nanostructured Thin Films: Fundamentals and Applications (Chapter 11), 1st ed.; Nenelmekki, M., Ed.; Elsevier: Amsterdam, The Netherlands, 2019; Volume 14, pp. 291–307. [Google Scholar]
  95. Puurunen, R.L. Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 2015, 97, 121301. [Google Scholar] [CrossRef]
  96. Nagasawa, H.; Yamaguchi, Y. Atomic level epitaxy of 3C-SiC by low pressure vapour deposition with alternating gas supply. Thin Solid Film. 1993, 225, 230–234. [Google Scholar] [CrossRef]
  97. Nagasawa, H.; Yamaguchi, Y. Mechanisms of SiC growth by alternate supply of SiH2Cl2 and C2H2. Appl. Surf. Sci. 1994, 82–83, 405–409. [Google Scholar] [CrossRef]
  98. Fuyuki, T.; Yoshinobu, T.; Matsunami, H. Atomic layer epitaxy controlled by surface superstructures in SiC. Thin Solid Film. 1993, 225, 225–229. [Google Scholar] [CrossRef]
  99. Fuyuki, T.; Nakayama, M.; Yoshinobu, T.; Shiomi, H.; Matsunami, H. Atomic layer epitaxy of cubic SiC by gas source MBE using surface superstructure. J. Cryst. Growth 1989, 95, 461–463. [Google Scholar] [CrossRef]
  100. Hara, S.; Aoyagi, Y.; Kawai, M.; Misawa, S.; Sakuma, E.; Yoshida, S. Self-limiting growth on the β-SiC(001) surface. Surf. Sci. 1992, 273, 437–441. [Google Scholar] [CrossRef]
  101. Hara, S.; Meguro, T.; Aoyagi, Y.; Kawai, M.; Misawa, S.; Sakuma, E.; Yoshida, S. Microscopic mechanisms of accurate layer-by-layer growth of β-SiC. Thin Solid Film. 1993, 225, 240–243. [Google Scholar] [CrossRef]
  102. Sumakeris, J.J.; Rowland, L.B.; Kern, R.S.; Tanaka, S.; Davis, R.F. Layer-by-layer growth of SiC at low temperatures. Thin Solid Film. 1993, 225, 219–224. [Google Scholar] [CrossRef]
  103. Sadayuki, E.; Imai, S.; Matsumura, M. Sub-Atomic Layer Growth of SiC at Low Temperatures. Jpn. J. Appl. Phys. 1995, 34, 6166. [Google Scholar] [CrossRef]
  104. Cheng, C.C.; Taylor, P.A.; Wallace, R.M.; Gutleben, H.; Clemen, L.; Colaianni, M.L.; Chen, P.J.; Weinberg, W.H.; Choyke, W.J.; Yates, J.T., Jr. Hydrocarbon surface chemistry on Si(100). Thin Solid Film. 1993, 225, 196–202. [Google Scholar] [CrossRef]
  105. Beyer, W. Diffusion and evolution of hydrogen in hydrogenated amorphous and microcrystalline silicon. Sol. Energy Mat. Sol. Cells 2003, 78, 235–267. [Google Scholar] [CrossRef]
  106. Mackus, A.J.M.; Schneider, J.R.; MacIsaac, C.; Baker, J.G.; Bent, S.F. Synthesis of Doped, Ternary, and Quaternary Materials by Atomic Layer Deposition: A Review. Chem. Mater. 2019, 31, 1142–1183. [Google Scholar] [CrossRef]
  107. Zhou, H.; Bent, S.F. Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition. J. Phys. Chem. C 2013, 117, 19967. [Google Scholar] [CrossRef]
  108. Closser, R.G.; Bergsman, D.S.; Bent, S.F. Molecular Layer Deposition of a Highly Stable Silicon Oxycarbide Thin Film Using an Organic Chlorosilane and Water. ACS Appl. Mater. Interfaces 2018, 10, 24266. [Google Scholar] [CrossRef] [PubMed]
  109. Chiappim, W.; Testoni, G.E.; Doria, A.C.O.; Pessoa, R.S.; Fraga, M.A.; Galvão, N.K.M.; Grigorov, K.G.; Vieira, L.; Maciel, H.S. Relationships among growth mechanism, structure and morphology of PEALD TiO2 films: The influence of O2 plasma power, precursor chemistry and plasma exposure mode. Nanotechnology 2016, 27, 305701. [Google Scholar] [CrossRef] [PubMed]
  110. Wang, M.; Chandra, H.; Lei, X.; Mallikarjunan, A.; Cuthill, K.; Xiao, M. Atomic layer deposition of carbon doped silicon oxide by precursor design and process tuning. J. Vac. Sci. Technol. A Vac. Surf. Film. 2018, 36, 021509. [Google Scholar] [CrossRef]
  111. Kim, D.; Kim, S.H.; Kim, H. The formation of a dielectric SiNxCy sealing layer using an atomic layer deposition technique. Mater. Sci. Semicond. Process. 2015, 29, 139–142. [Google Scholar] [CrossRef]
  112. Wang, M.; Chandra, H.; Lei, X.; Mallikarjunan, A.; Cuthill, K.; Xiao, M. Characteristics of SiOC(-H) Thin Films Prepared by Using Plasma-enhanced Atomic Layer Deposition. J. Vac. Sci. Technol. A 2018, 36, 021509. [Google Scholar] [CrossRef]
  113. Ovanesyan, R.A.; Leick, N.; Kelchner, K.M.; Hausmann, D.M.; Agarwal, S. Atomic Layer Deposition of SiCxNy Using Si2Cl6 and CH3NH2 Plasma. Chem. Mater. 2017, 29, 6269–6278. [Google Scholar] [CrossRef]
  114. Hsu, Y.-L.; Chang, Y.-F.; Chung, W.-M.; Chen, Y.-C.; Lin, C.-C.; Leu, J. SiCxNy-based resistive and threshold switching by using single precursor plasma-enhanced atomic layer deposition. Appl. Phys. Lett. 2020, 116, 213502. [Google Scholar] [CrossRef]
  115. Willander, M.; Friesel, M.; Wahab, Q.; Straumal, B. High-temperature electronic materials: Silicon carbide and diamond. In Springer Handbook of Electronic and Photonic Materials; Kasap, S., Capper, P., Eds.; Springer: Boston, MA, USA, 2006. [Google Scholar] [CrossRef]
  116. Wright, N.G.; Horsfall, A.B. SiC sensors: A review. J. Phys. D Appl. Phys. 2007, 40, 6345. [Google Scholar] [CrossRef]
  117. Saddow, S.E. Silicon carbide materials for biomedical applications. In Silicon Carbide Biotechnology, 2nd ed.; Saddow, S.E., Ed.; Elsevier: Amsterdam, The Netherlands, 2016; pp. 1–25. [Google Scholar] [CrossRef]
  118. Lien, W.-C.; Ferralis, N.; Pisano, A.P.; Carraro, C.; Maboudian, R. Tunable in situ growth of porous cubic silicon carbide thin films via methyltrichlorosilane-based chemical vapor deposition. Appl. Phys. Lett. 2009, 95, 101901. [Google Scholar] [CrossRef]
  119. Jiang, L.; Chen, X.; Wang, X.; Xu, L.; Stubhan, F.; Merkel, K.H. a-SiCx: H films deposited by plasma-enhanced chemical vapor deposition at low temperature used for moisture and corrosion resistant applications. Thin Solid Film. 1999, 352, 97–101. [Google Scholar] [CrossRef]
  120. Lee, H.-I.; Park, J.-B.; Xianyu, W.; Kim, K.; Chung, J.G.; Kyoung, Y.K.; Byun, S.; Yang, W.Y.; Park, Y.Y.; Kim, S.M.; et al. Shin Degradation by water vapor of hydrogenated amorphous silicon oxynitride films grown at low temperature. Sci. Rep. 2017, 7, 14146. [Google Scholar] [CrossRef] [Green Version]
  121. Kozak, O.; Ivashchenko, V.I.; Porada, O.K.; Ivashchenko, L.A.; Tomila, T.V.; Manjara, V.S.; Klishevych, G.V. Structural, optoelectronic and mechanical properties of PECVD Si-C-N films: An effect of substrate bias. Mater. Sci. Semicond. Process. 2018, 88, 65–72. [Google Scholar] [CrossRef]
  122. Reddy, J.; Volinsky, A.; Frewin, C.; Locke, C.; Saddow, S. Mechanical Properties of 3C-SiC Films for MEMS Applications. MRS Proc. 2007, 1049, 1049-AA03-06. [Google Scholar] [CrossRef] [Green Version]
  123. Chung, G.; Kim, K.; Han, K. Young’s Modulus and Hardness Characteristics of in-Situ-Doped Polycrystalline 3C-SiC Thin Films Measured by Using a Nanoindenter. J. Korean Phys. Soc. 2008, 53, 1961–1964. [Google Scholar] [CrossRef]
  124. Wijesundara, M.B.J.; Gao, D.; Carraro, C.; Howe, R.T.; Maboudian, R. Nitrogen doping of polycrystalline 3C-SiC films grown using 1,3-disilabutane in a conventional LPCVD reactor. J. Cryst. Growth 2003, 259, 18–25. [Google Scholar] [CrossRef]
  125. Wijesundara, M.B.J.; Stoldt, C.R.; Carraro, C.; Howe, R.T.; Maboudian, R. Nitrogen doping of polycrystalline 3C–SiC films grown by single-source chemical vapor deposition. Thin Solid Film. 2002, 419, 69–75. [Google Scholar] [CrossRef]
  126. Latha, H.K.E.; Udayakumar, A.; Prasad, V.S. Microstructure and electrical properties of nitrogen doped 3C -SiC thin films deposited using methyltrichlorosilane. Mater. Sci. Semicond. Process. 2015, 29, 117–123. [Google Scholar] [CrossRef]
  127. Marek, J. MEMS for automotive and consumer electronics. In Proceedings of the IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 7–11 February 2010; IEEE: New York, NY, USA, 2010. [Google Scholar]
  128. Champavat, V.R.; Patel, J.K.; Patel, A.P.; Patel, G.P. MEMS: Novel Means of Smart Drug Delivery. Int. J. Pharm. Res. Technol. 2014, 4, 32–37. [Google Scholar]
  129. Lohner, K.A.; Chen, K.S.; Ayon, A.A.; Spearing, S.M. Microfabricated Silicon Carbide Microengine Structures. MRS Proc. 1999, 546, 85–90. [Google Scholar] [CrossRef]
  130. Yasseen, A.A.; Chien-Hung, W.; Zorman, C.A.; Mehregany, M. Fabrication and testing of surface micromachined silicon carbide micromotors. In Proceedings of the 12th International Workshop on Micro Electro Mechanical Systems (MEMS’99), Orlando, FL, USA, 21 January 1999; IEEE: New York, NY, USA, 1999. [Google Scholar]
  131. Young, D.J.; Du, J.G.; Zorman, C.A.; Ko, W.H. High-Temperature Single-Crystal 3C-SiC Capacitive Pressure Sensor. IEEE Sen. J. 2004, 4, 464–470. [Google Scholar] [CrossRef]
  132. Du, J.; Ko, W.H.; Mehregany, M.; Zorman, C.A. Poly-SiC capacitive pressure sensors made by wafer bonding. In Proceedings of the IEEE Sensors, Irvine, CA, USA, 30 October–3 November 2005; IEEE: New York, NY, USA, 2005. [Google Scholar]
  133. Tang, W.; Zheng, B.X.; Liu, L.; Chen, Z.; Zhang, H.X. Complementary metal-oxide semiconductor-compatible silicon carbide pressure sensors based on bulk micromachining. Micro. Nano. Lett. 2011, 6, 265–268. [Google Scholar] [CrossRef]
  134. Eickhoff, M.; Moller, H.; Kroetz, G.; von Berg, J.; Ziermann, R. High temperature pressure sensor prepared by selective deposition of cubic silicon carbide on SOI substrates. Sens. Actuat. A-Phys. 1999, 74, 56–59. [Google Scholar] [CrossRef]
  135. Wu, C.H.; Stefanescu, S.; Kuo, H.I.; Zorman, C.A.; Mehregany, M. Fabrication and testing of single crystalline 3C-SiC piezoresistive pressure sensors. In Proceedings of the International Conference on Solid-State Sensors and Actuators, Munich, Germany, 10–14 June 2001; Springer: Berlin, Germany, 2001. [Google Scholar]
  136. Fraga, M.A.; Furlan, H.; Massi, M.; Oliveira, I.C.; Koberstein, L.L. Fabrication and characterization of a SiC/SiO2/Si piezoresistive pressure sensor. Procedia Eng. 2010, 5, 609–612. [Google Scholar] [CrossRef]
  137. Fraga, M.A.; Massi, M.; Furlan, H.; Oliveira, I.C.; Rasia, L.A.; Mateus, C.F.R. Preliminary evaluation of the influence of the temperature on the performance of a piezoresistive pressure sensor based on a-SiC film. Microsyst. Technol. 2011, 17, 477–480. [Google Scholar] [CrossRef]
  138. Middelburg, L.M.; van Zeijl, H.M.; Vollebregt, S.; Morana, B.; Zhang, G.Q. Toward a Self-Sensing Piezoresistive Pressure Sensor for all-SiC Monolithic Integration. IEEE Sens. J. 2020, in press. [Google Scholar] [CrossRef]
  139. Jiang, L.; Cheung, R.; Hedley, J.; Hassan, M.; Harris, A.J.; Burdess, J.S.; Mehregany, M.; Zorman, C.A. SiC cantilever resonators with electrothermal actuation. Sens. Actuat. A Phys. 2006, 128, 376–386. [Google Scholar] [CrossRef]
  140. Jiao, S.; Michaud, J.F.; Portail, M.; Madouri, A.; Chassagne, T.; Zielinski, M.; Alquier, D. A new approach for AFM cantilever elaboration with 3C-SiC. Mater. Lett. 2012, 77, 54–56. [Google Scholar] [CrossRef]
  141. Wood, G.S.; Sviličić, B.; Mastropaolo, E.; Cheung, R. 3C-Silicon Carbide Microresonators for Timing and Frequency Reference. Micromachines 2016, 7, 208. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  142. Belsito, L.; Bosi, M.; Mancarella, F.; Ferri, M.; Roncaglia, A. Nanostrain Resolution Strain Sensing by Monocrystalline 3C-SiC on SOI Electrostatic MEMS Resonators. J. Microelectromech. Syst. 2020, 29, 117–128. [Google Scholar] [CrossRef]
  143. Guzman, P.; Dinh, T.; Phan, H.-P.; Joy, A.P.; Qamar, A.; Bahreyni, B.; Zhu, Y.; Rais-Zadeh, M.; Li, H.; Nguyen, N.T.; et al. Highly-doped SiC resonator with ultra-large tuning frequency range by Joule heating effect. Mater. Design 2020, 194, 108922. [Google Scholar] [CrossRef]
  144. Rehder, G.; Carreño, M.N.P. Thermally actuated a-SiC:H MEMS fabricated by a PECVD process. J. Non-Cryst. Solids 2006, 352, 1822–1828. [Google Scholar] [CrossRef]
  145. Phan, H.P.; Nguyen, T.K.; Dinh, T.; Iacopi, A.; Hold, L.; Shiddiky, M.J.A.; Dao, D.V.; Nguyen, N.T. Robust Free-Standing Nano-Thin SiC Membranes Enable Direct Photolithography for MEMS Sensing Applications. Adv. Eng. Mater. 2018, 20, 5. [Google Scholar] [CrossRef] [Green Version]
  146. Beygi, M.; Bentley, J.T.; Frewin, C.L.; Kuliasha, C.A.; Takshi, A.; Bernardin, E.K.; La Via, F.; Saddow, S.E. Fabrication of a Monolithic Implantable Neural Interface from Cubic Silicon Carbide. Micromachines 2019, 10, 430. [Google Scholar] [CrossRef] [Green Version]
  147. Fraga, M.A.; Pessoa, R.S.; Barbosa, D.C.; Trava Airoldi, V.J. One-Dimensional Carbon Nanostructures—From Synthesis to Nano-electromechanical Systems Sensing Applications—. Sens. Mater. 2017, 29, 39–56. [Google Scholar]
  148. Munawar, A.; Ong, Y.; Schirhagl, R.; Tahir, M.A.; Khan, W.S.; Bajwa, S.Z. Nanosensors for diagnosis with optical, electric and mechanical transducers. RSC Adv. 2019, 9, 6793–6803. [Google Scholar] [CrossRef] [Green Version]
  149. Zorman, C.A.; Mehregany, M. Silicon carbide for MEMS and NEMS—An overview. In Proceedings of the IEEE SENSORS, Orlando, FL, USA, 12–14 June 2002; IEEE: New York, NY, USA, 2002. [Google Scholar]
  150. Mehregany, M.; Zorman, C.A. Silicon carbide micro- and nanoelectromechanical systems. Proc. SPIE 2013, 5342. [Google Scholar]
  151. Zorman, C.A.; Parro, R.J. Micro and nanomechanical structures for silicon carbide MEMS and NEMS. Phys. Status Solidi B 2008, 245, 1404–1424. [Google Scholar] [CrossRef]
  152. Lee, T.H.; Speer, K.M.; Fu, X.A.; Bhunia, S.; Mehregany, M. Polycrystalline silicon carbide NEMS for high-temperature logic. In Proceedings of the International Solid-State Sensors, Denver, CO, USA, 21–25 June 2009; IEEE: New York, NY, USA, 2009. [Google Scholar]
  153. He, T.; Yang, R.; Rajgopal, S.; Tupta, M.A.; Bhunia, S.; Mehregany, M.; Feng, P. Robust silicon carbide (SiC) nanoelectromechanical switches with long cycles in ambient and high temperature conditions. In Proceedings of the IEEE 26th International Conference on Micro Electro Mechanical Systems (MEMS), Taipei, Taiwan, 20–24 January 2013; IEEE: New York, NY, USA, 2013. [Google Scholar]
  154. He, T.; Yang, R.; Rajgopal, S.; Bhunia, S.; Mehregany, M.; Feng, P. Dual-gate silicon carbide (SiC) lateral nanoelectromechanical switches. In Proceedings of the 8th Annual IEEE International Conference on Nano/Micro Engineered and Molecular Systems, Suzhou, China, 7–10 April 2013; IEEE: New York, NY, USA, 2013. [Google Scholar]
  155. Feng, P.X.-L. Resonant nanoelectromechanical systems (NEMS): Progress and emerging frontiers. In Proceedings of the IEEE 33rd International Conference on Micro Electro Mechanical Systems (MEMS), Vancouver, BC, Canada, 18–22 January 2020; IEEE: New York, NY, USA, 2020. [Google Scholar]
Figure 1. Main types of chemical vapor deposition (CVD) reactors and processes.
Figure 1. Main types of chemical vapor deposition (CVD) reactors and processes.
Micromachines 11 00799 g001
Figure 2. The electrical resistivity of nitrogen-doped 3C-SiC films as a function of (a) NH3 flow rate in deposition process and (b) annealing temperature reported by Wijesundara et al. Reproduced with permission from [124] published by Elsevier, 2003, (c) the resistivity and the conductivity of the 3C-SiC films as a function of NH3 content in the deposition process reported by Wijesundara et al. Reproduced with permission from [125] published by Elsevier, 2002, (d) effect of temperature on the electrical resistivity of 3C-SiC films with different nitrogen doping concentration: (a) 0, (b) 9%, (c) 17%, and (d) 30% reported by Latha et al. Reproduced with permission from [126] published by Elsevier, 2015.
Figure 2. The electrical resistivity of nitrogen-doped 3C-SiC films as a function of (a) NH3 flow rate in deposition process and (b) annealing temperature reported by Wijesundara et al. Reproduced with permission from [124] published by Elsevier, 2003, (c) the resistivity and the conductivity of the 3C-SiC films as a function of NH3 content in the deposition process reported by Wijesundara et al. Reproduced with permission from [125] published by Elsevier, 2002, (d) effect of temperature on the electrical resistivity of 3C-SiC films with different nitrogen doping concentration: (a) 0, (b) 9%, (c) 17%, and (d) 30% reported by Latha et al. Reproduced with permission from [126] published by Elsevier, 2015.
Micromachines 11 00799 g002
Figure 3. SEM micrographs: (a) SiC film deposited by APCVD. Reproduced with permission from [29] published by the Materials Research Society (MRS), 1999, (b,c) cross-section of SiC film deposited on a Si cantilever beam by a low-temperature CVD process. Reproduced with permission from [59] published by Elsevier, 2002, (d) cross-section of a-SiC:H film deposited by PECVD. Reproduced with permission from [9] published by the Materials Research Society, 2006, (e) cross-section of SiNxCy layer deposited by PEALD. Reproduced with permission from [111] published by Elsevier, 2015, (f) cross-sectional TEM image of SiCxNy film deposited by thermal atomic layer deposition (ALD). Reproduced with permission from [113] published by the American Chemical Society, 2017.
Figure 3. SEM micrographs: (a) SiC film deposited by APCVD. Reproduced with permission from [29] published by the Materials Research Society (MRS), 1999, (b,c) cross-section of SiC film deposited on a Si cantilever beam by a low-temperature CVD process. Reproduced with permission from [59] published by Elsevier, 2002, (d) cross-section of a-SiC:H film deposited by PECVD. Reproduced with permission from [9] published by the Materials Research Society, 2006, (e) cross-section of SiNxCy layer deposited by PEALD. Reproduced with permission from [111] published by Elsevier, 2015, (f) cross-sectional TEM image of SiCxNy film deposited by thermal atomic layer deposition (ALD). Reproduced with permission from [113] published by the American Chemical Society, 2017.
Micromachines 11 00799 g003
Figure 4. Top SEM micrograph of SiC-based MEMS: (a) thick shell SiC micro-turbine rotor produced in a negative mold. Reproduced with permission from [129] published by MRS, 1998, and (b) wobble micromotors with free bearing before dissolving mold. Reproduced with permission from [130] published by IEEE, 1999.
Figure 4. Top SEM micrograph of SiC-based MEMS: (a) thick shell SiC micro-turbine rotor produced in a negative mold. Reproduced with permission from [129] published by MRS, 1998, and (b) wobble micromotors with free bearing before dissolving mold. Reproduced with permission from [130] published by IEEE, 1999.
Micromachines 11 00799 g004
Figure 5. Cross-sectional SEM micrograph of SiC-based capacitive pressure sensors: (a) developed by Young et al. Reproduced with permission from [131] published by IEEE, 2004, and (b) developed by Du et al. Reproduced with permission from [132] published by IEEE, 2006.
Figure 5. Cross-sectional SEM micrograph of SiC-based capacitive pressure sensors: (a) developed by Young et al. Reproduced with permission from [131] published by IEEE, 2004, and (b) developed by Du et al. Reproduced with permission from [132] published by IEEE, 2006.
Micromachines 11 00799 g005
Figure 6. Top SEM micrograph of SiC cantilever resonators: (a,b) developed by Jiang et al. Reproduced with permission from [139] published by Elsevier and (c) developed by Jiao et al. Reproduced with permission from [140] published by Elsevier.
Figure 6. Top SEM micrograph of SiC cantilever resonators: (a,b) developed by Jiang et al. Reproduced with permission from [139] published by Elsevier and (c) developed by Jiao et al. Reproduced with permission from [140] published by Elsevier.
Micromachines 11 00799 g006
Table 1. Mechanical properties of CVD SiC-based films deposited on (100) Si substrates measured by nanoindentation technique.
Table 1. Mechanical properties of CVD SiC-based films deposited on (100) Si substrates measured by nanoindentation technique.
MaterialCVD MethodThickness (µm)Elastic Modulus (GPa)Hardness (GPa)Hardness/Elastic Modulus (H/E) RatioReference
Single Crystal 3C-SiC film APCVD1–2433 ± 5031.2 ± 3.70.072[122]
Polycrystalline 3C-SiC film APCVD1–2457 ± 5033.5 ± 3.30.073[122]
Polycrystalline 3C-SiC filmLPCVD0.58–0.66236–27025–300.105–0.111[123]
a-SiC:H film PECVD2.5758.990.120[9]
a-SiCxNy filmPECVD1.5 180230.127[91]

Share and Cite

MDPI and ACS Style

Fraga, M.; Pessoa, R. Progresses in Synthesis and Application of SiC Films: From CVD to ALD and from MEMS to NEMS. Micromachines 2020, 11, 799. https://doi.org/10.3390/mi11090799

AMA Style

Fraga M, Pessoa R. Progresses in Synthesis and Application of SiC Films: From CVD to ALD and from MEMS to NEMS. Micromachines. 2020; 11(9):799. https://doi.org/10.3390/mi11090799

Chicago/Turabian Style

Fraga, Mariana, and Rodrigo Pessoa. 2020. "Progresses in Synthesis and Application of SiC Films: From CVD to ALD and from MEMS to NEMS" Micromachines 11, no. 9: 799. https://doi.org/10.3390/mi11090799

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop