Next Article in Journal
Design and Analysis of the Trajectory of an Overtaking Maneuver Performed by Autonomous Vehicles Operating with Advanced Driver-Assistance Systems (ADAS) and Driving on a Highway
Previous Article in Journal
Beauty in the Eyes of Machine: A Novel Intelligent Signal Processing-Based Approach to Explain the Brain Cognition and Perception of Beauty Using Uncertainty-Based Machine Voting
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Experimental Analysis of Oxide-Based RAM Analog Synaptic Behavior

CNRS, IM2NP, Aix Marseille University, 13451 Marseille, France
*
Author to whom correspondence should be addressed.
Electronics 2023, 12(1), 49; https://doi.org/10.3390/electronics12010049
Submission received: 22 November 2022 / Revised: 15 December 2022 / Accepted: 19 December 2022 / Published: 23 December 2022
(This article belongs to the Special Issue Memristor Devices: Models, Developments and Applications)

Abstract

:
One of the important features of Resistive RAM (RRAM) is its conductance modulation, which makes it suitable for neuromorphic computing systems. In this paper, the conductance modulation of Oxide-based RAM (OxRAM) devices is evaluated based on experimental data to reveal its inherent analog synaptic behavior. A test chip made of a classical 1T-1R elementary memory array is used to demonstrate the conductance modulation. Using an array of cells, as opposed to an isolated cell, allows to catch temporal as well as spatial variabilities. Thus, the multiple resistance levels capability of OxRAMs is assessed in a more realistic context. Two different programming techniques are used to program the OxRAM cells. The first approach leverages on RESET (RST) voltage control. The second approach relies on compliance current control during the SET operation. In both approaches, although multiple resistance levels can be easily obtained, it is demonstrated that a successful implementation of a reliable conductance modulation scheme mainly depends on the ability to precisely control the impact of variability on the different conductance levels obtained after the programming operation.

1. Introduction

Conventional computing technology based on Von Neumann (VN) architecture is facing fundamental limits, such as poor energy efficiency and latency [1]. This is particularly true when dealing with the vast amount of data generated by IoT devices, self-driving cars, cloud computing, etc. [2]. This situation, known as the “memory wall”, encourages the investigation of different processing information paradigms considered as non-Von Neumann (non-VN) architectures [3]. Over the last few years there has been a lot of activity across research groups proposing efficient hybrid “CMOS-nanodevice” computing hardware architectures, such as Artificial Neural Networks (ANNs) [4]. Indeed, ANNs have demonstrated impressive performances in recognition tasks where classical VN hardware architectures have difficulties classifying or organizing data, something that the human brain seems to accomplish efficiently.
ANNs are meant to mimic biological neural networks. ANNs architectures are based on a large collection of units that are interconnected in some pattern to allow communication between the units. These units are referred to as nodes or neurons. Each node is connected with other neurons through a connection link or synapse associated with a weight. Weights usually excite or inhibit the signal that is being communicated over the network. Thus, ANN can be seen as a complex adaptive system, which can change its internal structure based on the information passing through it [5]. Emerging Back-End-of-Line (BEOL) resistive memory devices [6,7] are considered as the optimum candidates to emulate biological synaptic behavior at nanometer scale as they offer the possibility to modulate their conductance in addition to being easily integrated with CMOS-based neuron circuits [8,9]. Among these emerging technologies, Oxide-based RAM (OxRAM) have proven to be very effective in implementing some aspects of synaptic plasticity [10].
A recent advance in the field of OxRAM memories is related to the possibility of Multi Level Cell (MLC) conductance, needed for the implementation of synaptic weight quantization. According to this approach, more than two data conductance states are made possible, simply by finely controlling the programming of the cell. Thus, OxRAMs can be considered as a time variable resistor, which makes the technology a potential candidate for implementing conventional ANNs.
At a circuit level, different conductance modulation techniques can be adopted. Conductance modulation can be achieved by (i) applying an increasing number of identical voltage pulses across the OxRAM—in this case, conductance levels are a function of the number of pulses [11]; (ii) directly modulating the programming voltage levels [12]; (iii) modulating the compliance current of the memory cell during programming operations [13]. The first approach requires an embedded pulse generator, while the two others require analog voltage levels generated from a specific circuitry.
Despite the advances made in this field, there are still open issues that are currently under investigation. The most important one is related to the variability of the OxRAM technology, which leads to synaptic weight precision reduction [14,15].
In this paper, we present how OxRAM memory devices can be used for synaptic plasticity functions with respect to variability. The conductance modulation of the OxRAM cell is demonstrated (i) by controlling the voltage applied across the cell during a RESET (RST) operation or (ii) by controlling the current flowing through the cell during a SET operation. In Section 2, OxRAM technology is introduced along with the basic concepts of memristor-based neural networks. In Section 3, the test chip considered for measurement is presented and characterized. In Section 4, the conductance modulation capability of the OxRAM technology is evaluated experimentally versus different programming conditions and discussed. Finally, Section 5 concludes the paper.

2. Background

2.1. OxRAM Technology

An OxRAM memory cell consists of two metallic electrodes that sandwich a thin dielectric layer serving as a permanent storage medium. This Metal-Insulator-Metal (MIM) structure, denoted as RRAM in Figure 1a, can be easily integrated in the BEOL on top of the CMOS subsystem. The MIM structure is integrated on top of the Metal 4 copper layer (Cu). A TiN Bottom Electrode (BE) is first deposited. Then, a 10 nm-HfO2/10 nm-Ti/TiN stack is added to form a capacitor-like structure [16]. Figure 1b shows the basic 1T-1R memory cell schematic where one nMOS transistor (W = 0.8 µm and L = 0.5 µm) is connected in series with an OxRAM cell. Figure 1c presents a typical 1T-1R OxRAM I-V characteristic in logarithmic scale. Based on the I–V curve, the memory cell operation can be seen as follows: after an initial electro-FORMING (FMG) step [17], the memory element can be reversibly switched between the Low Resistance State (LRS) and the High Resistance State (HRS). Resistive switching corresponds to an abrupt change between the HRS and the LRS. The resistance change is triggered by applying specific biases across the 1T-1R cell (Table 1), i.e., VSET to switch to LRS and VRST to switch to HRS. In the 1T-1R configuration, the transistor controls the amount of current flowing through the cell according to its gate voltage bias. The maximum current allowed by the select transistor is called the compliance current and is referred to as IC in Figure 1c. The compliance current IC controls the LRS resistance value in the SET state as well as the maximal RST current Ireset.
Table 1 presents the different voltage levels used during the different operating stages. Note that the FMG step, achieved once in the device life, is a voltage-induced resistance switching from an initial virgin state with a very high resistance to a conductive state, and that high voltages are typically needed during FMG [17].

2.2. OxRAM as Synapses

The synapse is a crucial element in biological neural networks, but a simple electronic equivalent is not possible, thus, complicating the development of hardware that reproduces biological architectures [18]. However, the recent progress in the experimental realization of memristive devices has reinforced the interest in ANNs as these devices have the ability to mimic brain synapses. Memristive devices, especially OxRAMs, have demonstrated the ability to be used as a synapse within hybrid analog circuits [17,18]. Indeed, since the conductance of OxRAMs can be electrically and incrementally increased or decreased [11], it is a potential candidate for realizing an electronic equivalent of a biological synapse. In a neural network context, OxRAM devices can act as synaptic weights to store information and process input signals.

2.3. Neuromemristive Systems

Neuromemristive systems are based on a design approach with closely coupled resistive memory and processing, resulting in high area and energy efficiency [19]. The neuromemristive network topology that has been mostly investigated in the literature is the fully connected neural network [20]. In this configuration, each neuron [8] of a layer is connected to every neuron of the previous layer. For simplicity, a two-layer, fully connected neural network, presented in Figure 2a, is considered. It is a feed-forward network that can be used to make classification tasks based on a linear predictor function combining a set of weights Wik with the input vector Xi. Outputs Yk are computed by implementing a vector–matrix multiplication as shown in Equation (1).
Y k = i = 1 n W i k · X i 1 < k m
The output layer Yk can represent class scores in classification applications [12]. An array of OxRAM cells could naturally accomplish matrix–vector multiplications within one step by collecting the accumulative output current of the array. This approach has been fully demonstrated experimentally in [21] using 1T-1R arrays for pattern recognition with metal-oxide resistive devices. Figure 2b describes how the neural network can be mapped to a 1T-1R array of OxRAMs. The cells in a row are organized by connecting the transistor sources to the Source Line (SL) and connecting transistor gates to the same Word Line (WL), while the cells in a column are organized by connecting the top electrode of the resistive memory to the Bit Line (BL). Input vectors (Xx) are mapped to input voltages (Vx) and weight matrix (Wik) is mapped to memory cell resistance values Rik, assuming that the ON state resistance of select transistors can be neglected compared to the OxRAM cell resistances. This structure can implement a vector–matrix multiplication as shown in Equation (2).
I k = i = 1 n 1 R i k · V i 1 < k m
The weighted sum is obtained by measuring the total current Ik and applying an activation function (not presented here) to the total current. The key point of this approach is the ability of the OxRAM cell to provide multiple resistance values. Indeed, before performing a vector–matrix multiplication (inference stage), different weights are loaded into the matrix. During weight loading, each OxRAM is programmed to a specific conductance value to reflect the targeted weight. In this context, the primary objective of this paper is to evaluate the conductance modulation capability of OxRAM devices.

3. Test Chip Presentation

3.1. T-1R Memory Array Architecture

Figure 3a presents the memory array considered for measurements, which is basically a classical 1T-1R array. Memory cells are grouped to form eight 8-bit memory words. Word Lines (WLX) are used to select the active row, Bit Lines (BLX) are used to select active columns during a SET operation, and Source Lines (SLX) are used to RESET a whole memory word or an addressed cell. To allow a full flexibility during characterization, BL, WL, and SL nodes are externally available.
Figure 3b presents a view of the memory array. Due to the limited pin out of the probe card used in the experimental phase, only a 7 × 7 memory array is available for our experiments (subset of the 8 × 8 array).

3.2. Experimental Setup

Figure 4 describes the experimental setup, which is based on a Keysight B1500 semiconductor parameter analyzer. The studied structure is embedded on an 8-inch wafer, connected to the B1500 through a probe card and a low-resistance switching matrix Keithley 708A. The matrix connects the Source/Measure Units (SMUs) to the memory array pads during the FMG, SET, RST, and READ operations. All the experiments are conducted through Python programs, controlling the equipment.

3.3. Preliminary Experimental Results: Variability Analysis

Although OxRAM-based devices have shown encouraging properties, challenges remain, among which device variability (or reproducibility) is the main [22]. In fact, variations of RHRS. and RLRS can be so unpredictable that they have been employed as an entropy source in True Random Number Generators (TRNG) [23,24]. Indeed, the variance from cycle to cycle (C2C) and from device to device (D2D) can be very large, directly impacting the memory cell HRS and LRS resistances. This inherent drawback of the technology has to be investigated to assess the multiple resistance levels capability of the considered OxRAM technology. To highlight the impact of variability on HRS and LRS resistances, a set of preliminary measurements are conducted. Before any SET/RST operations, the memory array is first formed. Then, memory cells are RST one by one to extract their HRS resistance. After RST, cells are SET to extract their LRS resistance. Figure 5 shows the cumulative probability plots obtained after 500 consecutive RST/SET cycles applied to the memory array (500 × 49 cells). As the same memory array is programed 500 times, both D2D and C2C variabilities are captured. A 0.1 V READ bias voltage is used to extract RLRS and RHRS distributions. Figure 5 shows that variability has a huge impact on HRS and LRS resistances. The HRS distribution spread is more pronounced compared to the LRS spread, which is a common feature of OxRAM technologies [25]. These experimental results clearly indicate that the variability of the OxRAM technology needs to be accounted to implement multilevel cell operations.

4. OxRAM Conductance Modulation

To modulate the OxRAM conductance, the following two methods are commonly used: (i) controlling the maximum voltage during the RST operation [26] and (ii) changing the compliance current during the SET operation [27]. Indeed, the RST operation is a voltage dependent process, whereas the SET operation is a current controlled process [27]. An alternative method consisting in varying the pulse width of RST/SET voltages is also employed [28] but is not considered in this study.

4.1. Reset Voltage Control

In this approach, OxRAM conductance modulation is obtained by controlling the maximum RST voltage. To program the memory cells and precisely track the HRS resistance change, 1 ms DC staircase voltage sweeps are used. A strict measurement protocol, depicted in Figure 6a, is used. Memory cells are first RST (RST1) at 1.8 V to start from a fresh HRS state. The RST operation is followed by a SET operation to reach the LRS state. After that, a second RST operation (RST2) with a variable maximum voltage Vstop is applied across the cells. Vstop ranges from 0.6 V to 1.75 V and increases by a 0.05 V step in each iteration, resulting is 24 different maximum Vstop voltages. For each iteration, the HRS resistance change is measured during a READ operation at 0.1 V. In Figure 6b, I–V characteristics are presented for a single cell located in the center of the elementary memory array (this is an arbitrary choice as no significant behavior changes have been reported according to the cell position in the array during RST end SET operations). I–V curves are obtained after applying the above-mentioned RST1-SET-RST2 programming sequence with an arbitrary value of Vstop = 1.1 V. We can see that the cell starts from an intermediate RST state before the first RST1 operation, which results in a narrow hysteresis (black curve 1). From the resulting initial HRS state (170 kΩ), a SET operation (blue curve 2) brings the cell in the LRS state (8 kΩ). Finally, a “weak” RST operation, with Vstop = 1.1 V is applied to bring back the cell in an intermediate final HRS state (red curve 3). Indeed, as Vstop is clamped to 1.1 V, the RST process is not fully completed, leading to an intermediate HRS resistance level (80 kΩ). Note that no compliance current is set during the SET operation to only consider the impact of a variable maximum RST voltage on the final HRS resistance.
Results presented in Figure 6b are actually impacted by variability. C2C variability is clearly visible in Figure 7a where the programming sequence is repeated 10 times for an isolated cell (10 I–V hysteresis superimposed for Vstop = 1.1 V). In Figure 7b, D2D variability is demonstrated by applying the programming sequence one time to each cell of the memory array (49 I–V hysteresis superimposed for Vstop = 1.1 V). In Figure 7c, both C2C and D2D variabilities are captured after applying the programming sequence 10 times to the whole memory array.
D2D variability is confirmed in Figure 8a–c bitmaps, extracted after RST2 operation for 3 different Vstop values (0.6 V, 1.1 V, and 2 V). Resistance values are represented by a color gradient from white (for the highest resistance values) to black (for the lowest resistance values). For Vstop = 0.6 V, the resistance window is [5.8–13 kΩ], with an average value of 7.5 kΩ. For Vstop = 1.1 V, the resistance window increases to [30–180 kΩ], with an average value of 88 kΩ. The resistance window is even more increased for higher Vstop values: the deeper we enter the HRS state, the higher the variability [27]. For Vstop = 1.6 V, a resistance window of [92–496 kΩ] is measured, with an average value of 237 kΩ.
The evolution of the HRS resistance versus Vstop is presented in Figure 9a (Vstop ranging from 0.6 V to 1.75 V). We can see that RHRS evolution is not a monotonically increasing function of Vstop and shows some resistance drops (blue curve). However, if we consider a subset of the measurements (dot point of the red dashed curve), a monotonically increasing function can be obtained. In Figure 9b, the non-monotonic evolution of RHRS versus Vstop is confirmed for 5 different cells of the array.
A statistical analysis of the HRS resistance distribution was also conducted. For each Vstop value, the measurement protocol of Figure 6a is applied to each cell of the 7 × 7 memory array to catch the D2D variability and repeated 10 times for the whole array to catch the C2C variability. Figure 9c shows a box plot representation of RHRS versus Vstop steps for all the memory array cells (49 measurements for each Vstop step). In Figure 9d, box plots are related to the same memory programmed 10 times for each Vstop step value (49 × 10 measurements). Interestingly, for this latter case, a smoothing effect alleviates the effect of the variability: the evolution of the median value of RHRS versus Vstop is turned monotonic (i.e., the median RHRS value strictly increases with increasing Vstop values).
Based on Figure 9 measurement results, it clearly appears that variability of the technology is a key parameter to consider in order to use OxRAMs in an ANN context: the impact of variability on the ANN parameters, such as accuracy, need to be checked against the variability of the OxRAM technology.

4.2. Compliance Current Modulation during SET

Another approach to achieve conductance modulation is to change the compliance current (IC) during the SET operation. Indeed, it has been demonstrated that the final cell resistance is a function of the maximal current allowed through the cell [29,30]. In the considered 1T-1R configuration, the SET current can be controlled by varying the voltage applied to the gate of the transistor, referred to as VWL (see Figure 1b). The measurement protocol is depicted in Figure 10a. Memory cells are first RST to start from a fresh HRS state. The RST operation is followed by a SET operation with a variable VWL voltage (“weak” SET) to reach the LRS state. VWL ranges from 1 V to 2 V and increase by a 0.1 V step in each iteration, resulting in 11 different VWL voltages (‘m’ ranging from 0 to 10). For each iteration, the LRS resistance change is measured during a READ operation at 0.1 V. Figure 10b shows the impact of 3 different VWL voltages (1 V, 1.5 V, and 2 V) on an isolated OxRAM cell. When VWL increases, the maximum current allowed through the cell increases, resulting in 3 different LRS levels (13 kΩ, 15 kΩ, and 34 kΩ), whereas the HRS resistance remains in the same range (approximately 300 kΩ).
The evolution of the LRS resistance versus VWL voltage is presented in Figure 11a for the 11 pre-defined VWL values and by considering 4 different cells. Figure 11a shows that RLRS evolution is not a monotonic function of VWL. However, resistance drops are less pronounced compared to the RST voltage control approach (see Figure 9b).
A statistical analysis of the LRS resistance distribution was also conducted. The measurement protocol of Figure 10a is applied to each cell of the 7 × 7 memory array to catch the D2D variability. Figure 11b shows a box plot representation of RLRS versus VWL voltage for all the memory array cells (49 measurements for each VWL step). We can observe that the higher the select transistor gate voltage, the lower the resistance after SET. Moreover, when the maximal allowed current decreases, the resistance values are more spread. Conversely, when the current limitation is high, all the cells are correctly SET, resulting in tight distributions [31]. A region of resistance variation has been identified (between 12.5 kΩ and 40 kΩ, see Figure 11a,b) where the conductance modulation in the LRS state can be exploited. Beyond this resistance range, the resistance variation slope is too important to consider conductance modulation.

4.3. Discussion

In both RST voltage and compliance current control approaches, the idea was to define a relationship between the RST voltage or the SET compliance current, on the one hand, and the HRS or LRS resistances on the other hand. LRS and HRS resistance variations were required to follow a monotonic variation to confidently implement the proposed conductance modulation strategy in a neuromorphic computing system. However, we have demonstrated that the variability of the technology results in uncontrolled resistance changes.
At the OxRAM device level, the conductance modulation strategy can be seen as a segmentation of the I–V plane by several I–V characteristics, as shown in Figure 12. For clarity, only eight different characteristics are considered. Each characteristic is associated with a single conductance resistance state and has a slope of Gx = 1/Rx, where x is the number of HRS/LRS states ranging from 0 to n. The precision required in the conductance modulation operation is not only limited by the programming operation; it is also necessary to develop an accurate and robust READ mechanism. The READ operation is typically implemented by applying a low bit line voltage to the memory cell select transistor (VRead), and resulting currents (I0 to In) are sensed to reflect the memory cell conductance value [32]. Although multiple resistance levels can be easily obtained using the above-mentioned programming methods (i.e., RST voltage and SET current control), the successful implementation of a reliable conductance modulation scheme mainly depends on the ability to precisely control the conductance margin between two conductance levels. Indeed, various factors, including variability in the first place, can degrade the conductance margin and eventually lead to I–V characteristic overlaps. In order to take into account the variability of the n resistance states, the conductance margin ΔG is represented in Figure 12 by the shaded area encompassing each characteristic. Hence, it clearly appears that the variability parameter is the key parameter to consider to achieve a reliable conductance modulation strategy.
In the next analysis, the standard deviation metric is used to track the HRS and LRS variability. Figure 13a shows the evolution of the HRS distribution standard deviation σRHRS versus the RST voltage. The standard deviation is related to box plot distributions presented in Figure 9c. We can see that the standard deviation evolution follows a trend close to a linear law: HRS standard deviation is more pronounced for high Vstop values, which is associated with important HRS values. When normalized with respect to the mean HRS distribution value μRHRS, the coefficient of variation (σ/μ ratio) evolution is turned constant for high enough Vstop values (>0.8 V, see Figure 13b). Figure 13c shows the evolution of the LRS distribution standard deviations versus the VWL. Here, standard derivations are related to box plot distributions presented in Figure 11b. In this case, LRS standard deviation is a strong function of VWL and increases exponentially with decreasing VWL values. When normalized with respect to the mean LRS distribution value μRLRS, the σ/μ ratio evolution keeps its exponential behavior (Figure 13d), making the RLRS variability difficult to control for low VWL values. To mitigate the dependence of the variability on the programming conditions (i.e., programming voltages or select transistor gate voltage), a workaround would be to leave sufficient margin between the memory states (HRS or LRS) more impacted by variability. In practice, the idea would be to increase Vstop steps for increasing Vstop voltages, or to increase VWL steps for decreasing VWL voltages. Indeed, to obtain a monotonic variation of RHRS (resp. RLRS) versus Vstop (resp. VWL), Vstop (resp. VWL) steps have to be carefully chosen to avoid unwanted resistance variations, as shown in Figure 9a.

5. Conclusions

In the past few years, neuromemristive systems based on the emerging resistive memories have made notable progress. However, the research on the ability of a resistive device to emulate a synapse is still in its early stage. In this context, this paper assesses the capability of OxRAM devices to achieve multilevel cell operations. The study targets two programming techniques: (i) RST voltage control and (ii) SET current control. Experimental results show that both techniques provide conductance levels highly impacted by variability, making the strict control of OxRAM conductance difficult in an ANN context. The RST voltage control approach shows high variability. However, the variability remains constant with respect to the median value of the HRS distributions. The SET current control approach shows more contained variability. However, in this approach, the variability grows exponentially with respect to the median value of the LRS distributions.

Author Contributions

Conceptualization, H.A., M.M. and J.P.-P.; formal analysis H.A., M.M. and J.P.-P., methodology, H.A.; project administration, H.A.; supervision, H.A.; writing–original draft, H.A.; writing–review and editing, M.M. and J.P.-P. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Acknowledgments

The authors wish to acknowledge the support from the CEA-Leti (“Commissariat à l’énergie atomique-Laboratoire d’électronique et de technologie de l’information”). CEA-Leti provided the technology access as part of the Memory Advanced Demonstrators project (MAD200).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Linn, E.; Rosezin, R.; Tappertzhofen, S.; Böttger, U.; Waser, R. Logic operations in passive crossbar arrays alongside memory operations. Nanotechnology 2012, 23, 305205. [Google Scholar] [CrossRef] [PubMed]
  2. Aitken, R.; Chandra, V.; Myers, J.; Sandhu, B.; Shifren, L.; Yeric, G. Device and technology implications of the Internet of Things. In Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers; IEEE: Piscataway, NJ, USA, 2014; pp. 1–4. [Google Scholar] [CrossRef]
  3. Roy, D.; Srinivasan, G.; Panda, P.; Tomsett, R.; Desai, N.; Ganti, R.; Roy, K. Neural Networks at the Edge. In Proceedings of the 2019 IEEE International Conference on Smart Computing (SMARTCOMP), Washington, DC, USA, 12–15 June 2019; pp. 45–50. [Google Scholar] [CrossRef]
  4. Indiveri, G.; Linares-Barranco, B.; Hamilton, T.J.; van Schaik, A.; Etienne-Cummings, R.; Delbruck, T.; Liu, S.-C.; Dudek, P.; Häfliger, P.; Renaud, S.; et al. Neuromorphic silicon neuron circuits. Front. Neurosci. 2011, 5, 73. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  5. Bi, G.-Q.; Poo, M.-M. Synaptic modifications in cultured hippocampal neurons: Dependence on spike timing, synaptic strength, and postsynaptic cell type. J. Neurosci. 1998, 18, 10464–10472. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  6. Vatajelu, E.I.; Aziza, H.; Zambelli, C. Nonvolatile memories: Present and future challenges. In Proceedings of the Design & Test Symposium (IDT), 2014 9th International, Algiers, Algeria, 16–18 December 2014; IEEE: Piscataway, NJ, USA, 2014; pp. 61–66. [Google Scholar] [CrossRef]
  7. Bazzi, H.; Harb, A.; Aziza, H.; Moreau, M.; Kassem, A. RRAM-based non-volatile SRAM cell architectures for ultra-low-power applications. Analog. Integr. Circ. Sig. Process. 2021, 106, 351–361. [Google Scholar] [CrossRef]
  8. Aziza, H.; Moreau, M.; Perez, A.; Virazel, A.; Girard, P. A capacitor-less CMOS neuron circuit for neuromemristive networks. In Proceedings of the 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS), Munich, Germany, 23–26 June 2019. [Google Scholar] [CrossRef]
  9. Vaz, P.I.; Girard, P.; Virazel, A.; Aziza, H. Improving TID Radiation Robustness of a CMOS OxRAM-Based Neuron Circuit by Using Enclosed Layout Transistors. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems; IEEE: Manhattan, NY, USA, 2021; Volume 29, pp. 1122–1131. [Google Scholar] [CrossRef]
  10. Aziza, H.; Bazzi, H.; Postel-Pellerin, J.; Canet, P.; Moreau, M.; Harb, A. An Augmented OxRAM Synapse for Spiking Neural Network (SNN) Circuits. In Proceedings of the 2019 14th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), Mykonos, Greece, 16–18 April 2019; pp. 1–5. [Google Scholar] [CrossRef]
  11. Aziza, H.; Perez, A.; Portal, J. Resistive RAMs as Analog Trimming Elements. J. Solid-State Electron. 2018, 142, 52–55. [Google Scholar] [CrossRef]
  12. Xu, C.; Niu, D.; Muralimanohar, N.; Jouppi, N.P.; Xie, Y. Understanding the trade-offs in multi-level cell ReRAM memory design. In Proceedings of the 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), Austin, TX, USA, 29 May–7 June 2013; pp. 1–6. [Google Scholar]
  13. Chen, W.; Lu, W.; Long, B.; Li, Y.; Gilmer, D.; Bersuker, G.; Bhunia, S.; Jha, R. Switching characteristics of W/Zr/HfO2/TiN ReRAM devices for multi-level cell non-volatile memory applications. Semicond. Sci. Technol. 2015, 30, 075002. [Google Scholar] [CrossRef]
  14. Zhang, Y.; Cui, M.; Shen, L.; Zeng, Z. Memristive Quantized Neural Networks: A Novel Approach to Accelerate Deep Learning On-Chip. IEEE Trans. Cybern. 2019, 51, 1875–1887. [Google Scholar] [CrossRef] [PubMed]
  15. Yu, S.; Jiang, H.; Huang, S.; Peng, X.; Lu, A. Computing-in-memory chips for deep learning: Recent trends and prospects. IEEE Circuits Syst. Mag. 2021, 21, 31–56. [Google Scholar] [CrossRef]
  16. Garbin, D.; Vianello, E.; Bichler, O.; Rafhay, Q.; Gamrat, C.; Ghibaudo, G.; DeSalvo, B.; Perniola, L. HfO2-Based OxRAM Devices as Synapses for Convolutional Neural Networks. IEEE Trans. Electron. Devices 2015, 62, 2494–2501. [Google Scholar] [CrossRef]
  17. Chakrabarti, B.; Galatage, R.V.; Vogel, E.M. Multilevel Switching in Forming-Free Resistive Memory Devices With Atomic Layer Deposited HfTiOx Nanolaminate. IEEE Electron. Device Lett. 2013, 34, 867–869. [Google Scholar] [CrossRef]
  18. Yu, S.; Gao, B.; Fang, Z.; Yu, H.; Kang, J.; Wong, H.S.P. Stochastic learning in oxide binary synaptic device for neuromorphic computing. Front. Neurosci. 2013, 7, 186. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  19. Papandroulidakis, G. Experimental Demonstration of RRAM-Based Computational Cells for Reconfigurable Mixed-Signal Neuro-Inspired Circuits and Systems. Ph.D. Thesis, University of Southampton, Southampton, UK, 2021. [Google Scholar]
  20. Lee, Y.-L.; Tsung, P.-K.; Wu, M. Technology trend of edge AI. In Proceedings of the IEEE International Symposium on VLSI Design, Automation and Test, Hsinchu, Taiwan, 16–19 April 2018; pp. 1–2. [Google Scholar]
  21. Prezioso, M.; Merrikh-Bayat, F.; Hoskins, B.D.; Adam, G.C.; Likharev, K.K.; Strukov, D.B. Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature 2015, 521, 61–64. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  22. Yao, P.; Wu, H.; Gao, B.; Eryilmaz, S.B.; Huang, X.; Zhang, W.; Zhang, Q.; Deng, N.; Shi, L.; Wong, H.-S.P.; et al. Face classification using electronic synapses. Nat. Commun. 2017, 8, 15199. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  23. Aziza, H.; Postel-Pellerin, J.; Bazzi, H.; Canet, P.; Moreau, M.; Della Marca, V.; Harb, A. True random number generator integration in a resistive RAM memory array using input current limitation. IEEE Trans. Nanotechnol. 2020, 19, 214–222. [Google Scholar] [CrossRef]
  24. Postel-Pellerin, J.; Bazzi, H.; Aziza, H.; Canet, P.; Moreau, M.; Della Marca, V.; Harb, A. True random number generation exploiting SET voltage variability in resistive RAM memory arrays. In Proceedings of the 2019 19th Non-Volatile Memory Technology Symposium (NVMTS), Durham, NC, USA, 28–30 October 2019; pp. 1–5. [Google Scholar]
  25. Aziza, H.; Hamdioui, S.; Fieback, M.; Taouil, M.; Moreau, M. Density Enhancement of RRAMs using a RESET Write Termination for MLC Operation. In Proceedings of the Design, Automation 459 & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 1–5 February 2021; pp. 1877–1880. [Google Scholar] [CrossRef]
  26. Kim, W.; Menzel, S.; Wouters, D.J.; Waser, R.; Rana, V. 3-Bit multilevel switching by deep reset phenomenon in Pt/W/TaOx /Pt-ReRAM devices. IEEE Electron. Device Lett. 2016, 37, 564–567. [Google Scholar] [CrossRef]
  27. Ielmini, D. Resistive switching memories based on metal oxides: Mechanisms, reliability and scaling. Semicond. Sci. Technol. 2016, 31, 1–25. [Google Scholar] [CrossRef]
  28. Zhao, L.; Chen, H.-Y.; Wu, S.-C.; Jiang, Z.; Yu, S.; Hou, T.-H.; Wong, H.-S.P.; Nishi, Y. Improved multi-level control of RRAM using pulse-train programming. In Proceedings of the IEEE International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 28–30 April 2014. [Google Scholar] [CrossRef]
  29. Aziza, H.; Canet, P.; Postel-Pellerin, J.; Moreau, M.; Portal, J.-M.; Bocquet, M. ReRAM ON/OFF resistance ratio degradation due to line resistance combined with device variability in 28nm FDSOI technology. In Proceedings of the Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Athens, Greece, 3–5 April 2017. [Google Scholar] [CrossRef]
  30. Hajri, B.; Mansour, M.M.; Chehab, A.; Aziza, H. Oxide-based RRAM models for circuit designers: A comparative analysis. In Proceedings of the International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), Palma de Mallorca, Spain, 4–6 April 2017. [Google Scholar] [CrossRef]
  31. Aziza, H.; Moreau, M.; Fieback, M.; Taouil, M.; Hamdioui, S. An Energy-Efficient Current-Controlled Write and Read Scheme for Resistive RAMs (RRAMs). IEEE Access 2020, 8, 137263–137274. [Google Scholar] [CrossRef]
  32. Portal, J.-M.; Bocquet, M.; Onkaraiah, S.; Moreau, M.; Aziza, H.; Deleruyelle, D.; Torki, K.; Vianello, E.; Levisse, A.; Giraud, B.; et al. Design and simulation of a 128 kb embedded nonvolatile memory based on a hybrid RRAM (HfO2)/28 nm FDSOI CMOS technology. IEEE Trans. Nanotechnol. 2017, 16, 677–686. [Google Scholar] [CrossRef]
Figure 1. (a) TEM cross-section of an OxRAM device; (b) symbol view of a 1T-1R cell; (c) OxRAM I–V characteristic in log scale.
Figure 1. (a) TEM cross-section of an OxRAM device; (b) symbol view of a 1T-1R cell; (c) OxRAM I–V characteristic in log scale.
Electronics 12 00049 g001
Figure 2. (a) Two-layer feed-forward neural network; (b) matrix–vector multiplication in a 1T-1R OxRAM array.
Figure 2. (a) Two-layer feed-forward neural network; (b) matrix–vector multiplication in a 1T-1R OxRAM array.
Electronics 12 00049 g002
Figure 3. (a) 8 × 8 OxRAM memory array and (b) corresponding micrograph of the memory array test chip fabricated in a 130 nm CMOS technology.
Figure 3. (a) 8 × 8 OxRAM memory array and (b) corresponding micrograph of the memory array test chip fabricated in a 130 nm CMOS technology.
Electronics 12 00049 g003
Figure 4. Experimental setup used for the memory array characterization.
Figure 4. Experimental setup used for the memory array characterization.
Electronics 12 00049 g004
Figure 5. HRS and LRS resistance distribution measurement results after cycling the memory array 500 times.
Figure 5. HRS and LRS resistance distribution measurement results after cycling the memory array 500 times.
Electronics 12 00049 g005
Figure 6. (a) RHRS conductance modulation measurement protocol and (b) resulting I–V curves.
Figure 6. (a) RHRS conductance modulation measurement protocol and (b) resulting I–V curves.
Electronics 12 00049 g006
Figure 7. I–V curves extraction after the RST1-SET-RST2 operation highlighting (a) C2C variability, (b) D2D variability, and (c) both C2C and D2D variability.
Figure 7. I–V curves extraction after the RST1-SET-RST2 operation highlighting (a) C2C variability, (b) D2D variability, and (c) both C2C and D2D variability.
Electronics 12 00049 g007
Figure 8. RHRS bitmaps extraction after RST2 operation for 3 different Vstop values: (a) Vstop = 0.6 V; (b) Vstop = 1.1 V et; (c) Vstop = 1.6 V.
Figure 8. RHRS bitmaps extraction after RST2 operation for 3 different Vstop values: (a) Vstop = 0.6 V; (b) Vstop = 1.1 V et; (c) Vstop = 1.6 V.
Electronics 12 00049 g008
Figure 9. (a) RHRS vs. Vstop steps for an isolated cell (center of the array). (b) RHRS vs. Vstop steps for 4 isolated cells. (c) RHRS box plots vs. Vstop steps. Each box plot gathers 49 measurements (D2D). (d) RHRS box plots vs. Vstop steps. Each box plot gathers 490 measurements (D2D and C2C). The circles represent outliers showing the strong variability.
Figure 9. (a) RHRS vs. Vstop steps for an isolated cell (center of the array). (b) RHRS vs. Vstop steps for 4 isolated cells. (c) RHRS box plots vs. Vstop steps. Each box plot gathers 49 measurements (D2D). (d) RHRS box plots vs. Vstop steps. Each box plot gathers 490 measurements (D2D and C2C). The circles represent outliers showing the strong variability.
Electronics 12 00049 g009
Figure 10. (a) RLRS conductance variation measurement protocol and (b) RHRS vs. VWL for 3 different VWL values (1 V, 1.5 V and 2 V).
Figure 10. (a) RLRS conductance variation measurement protocol and (b) RHRS vs. VWL for 3 different VWL values (1 V, 1.5 V and 2 V).
Electronics 12 00049 g010
Figure 11. (a) RLRS versus VWL for 4 different cells and (b) RLRS box plots versus VWL voltage steps. Each box plot gathers 49 measurements.
Figure 11. (a) RLRS versus VWL for 4 different cells and (b) RLRS box plots versus VWL voltage steps. Each box plot gathers 49 measurements.
Electronics 12 00049 g011
Figure 12. I–V plane segmentation and subsequent READ operation after the memory cell programming operation. The cell is read at VRead, and different currents are sensed to reflect the memory cell conductance value.
Figure 12. I–V plane segmentation and subsequent READ operation after the memory cell programming operation. The cell is read at VRead, and different currents are sensed to reflect the memory cell conductance value.
Electronics 12 00049 g012
Figure 13. (a) HRS standard deviation versus Vstop voltage steps and (b) σ/μ ratio variation versus Vstop. (c) LRS Standard deviation versus VWL voltage steps and (d) σ/μ ratio variation versus VWL.
Figure 13. (a) HRS standard deviation versus Vstop voltage steps and (b) σ/μ ratio variation versus Vstop. (c) LRS Standard deviation versus VWL voltage steps and (d) σ/μ ratio variation versus VWL.
Electronics 12 00049 g013
Table 1. Standard operating voltages (cell level, presented in Figure 1b).
Table 1. Standard operating voltages (cell level, presented in Figure 1b).
FMGRSTSETREAD
WL2 V2.5 V2 V2.5 V
BL3.3 V0 V1.2 V0.1 V
SL0 V1.2 V0 V0 V
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Aziza, H.; Postel-Pellerin, J.; Moreau, M. Experimental Analysis of Oxide-Based RAM Analog Synaptic Behavior. Electronics 2023, 12, 49. https://doi.org/10.3390/electronics12010049

AMA Style

Aziza H, Postel-Pellerin J, Moreau M. Experimental Analysis of Oxide-Based RAM Analog Synaptic Behavior. Electronics. 2023; 12(1):49. https://doi.org/10.3390/electronics12010049

Chicago/Turabian Style

Aziza, Hassan, Jeremy Postel-Pellerin, and Mathieu Moreau. 2023. "Experimental Analysis of Oxide-Based RAM Analog Synaptic Behavior" Electronics 12, no. 1: 49. https://doi.org/10.3390/electronics12010049

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop