Next Article in Journal
Simulation of 316L Stainless Steel Produced the Laser Powder Bed Fusion Process
Next Article in Special Issue
Synthesis of Cobalt Particles and Investigation of Their Electromagnetic Wave Absorption Characteristics
Previous Article in Journal
Application of Industrial Waste Materials by Alkaline Activation for Use as Geopolymer Binders
Previous Article in Special Issue
A Design Method for Rectangular Waveguide-Typed Microwave Devices Based on a Novel Origami Process
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Advanced 3D Through-Si-Via and Solder Bumping Technology: A Review

by
Ye Jin Jang
1,
Ashutosh Sharma
2,*,† and
Jae Pil Jung
1,*
1
Department of Materials Science and Engineering, University of Seoul, Seoul 02504, Republic of Korea
2
Department of Materials Science and Engineering, Ajou University, 206-Worldcup-ro, Yeongtong-gu, Gyeonggi-do, Suwon 16499, Republic of Korea
*
Authors to whom correspondence should be addressed.
Current address: Amity Institute of Applied Science, Amity University Jharkhand, Ranchi 834002, India.
Materials 2023, 16(24), 7652; https://doi.org/10.3390/ma16247652
Submission received: 24 October 2023 / Revised: 3 December 2023 / Accepted: 12 December 2023 / Published: 14 December 2023
(This article belongs to the Special Issue Advanced Electronic Packaging Technology: From Hard to Soft)

Abstract

:
Three-dimensional (3D) packaging using through-Si-via (TSV) is a key technique for achieving high-density integration, high-speed connectivity, and for downsizing of electronic devices. This paper describes recent developments in TSV fabrication and bonding methods in advanced 3D electronic packaging. In particular, the authors have overviewed the recent progress in the fabrication of TSV, various etching and functional layers, and conductive filling of TSVs, as well as bonding materials such as low-temperature nano-modified solders, transient liquid phase (TLP) bonding, Cu pillars, composite hybrids, and bump-free bonding, as well as the role of emerging high entropy alloy (HEA) solders in 3D microelectronic packaging. This paper serves as a guideline enumerating the current developments in 3D packaging that allow Si semiconductors to deliver improved performance and power efficiency.

1. Introduction

The next electronic horizon is made possible in many ways by the sophisticated packaging technique of a 3D stacking of Si dies. Power supply, signal integrity, form factor reduction, and heat dissipation issues may all be addressed using stacked Si dies [1]. Three-dimensional TSV is a popular electronic packaging technology that offers significant benefits in terms of device performance, size, and cost in 3D packaging technology [2,3,4]. As optoelectronic interconnects transition to larger bandwidth devices like those with 1.6T or greater, the necessity of improved package design has become increasingly apparent [5]. In particular, the TSV is vital in semiconductor integration, which enables rapid signal transmission due to interconnected upper and lower-stacked Si chips [4,5]. The complementary metal-oxide semiconductor (CMOS) image sensor, dynamic random access memory, flash memory, system in package (SiP), system on chip (SoC), Internet of Things (IoT), 6G/5G networks, and high-performance computers (HPC) are various industries where TSV technology is a key player [6,7].
The most recent developments in digital electronics, including the IoT, artificial intelligence (AI), 5G networks, and HPC, have called for computers with exceptional speed and computing abilities [8,9]. Moore’s law predicts that transistor density per unit area in microchips would increase exponentially to meet these criteria, even as chip size decreases [10]. The technology for mass-producing 5 nm chips has already been developed by popular semiconductor manufacturers like Samsung and Taiwan Semiconductor and Manufacturing Company (TSMC) and is on track for future commercialization. Nevertheless, to meet 3D integration criteria, e.g., high I/O terminals, speedy signal, less power requirement, and efficient thermal dissipation, electronic manufacturers have already developed many 3D, 2.5D, and 5.5D packages [11,12].
The TSVs are the basis of 3D integration that uses vertical interlayer interconnects to stack and connect different functional chips. The X and Y dimensions are dramatically decreased while the Z dimension hardly changes. The system performance is improved through a large reduction in the connection length [13]. Thermal dissipation in 3D chips is challenging because the heat generated in the bottom chip must dissipate across the entire stack [13,14]. Functional chips are stacked vertically in a 2.5D packaging process using a silicon imposer to address this issue [15]. TSV technology is required for the vertical stacking of Si chips for high-density chip packing in semiconductor system integration. Systems integration may also make use of 3D packaging, which enables components to be linked over the lowest practical distance than 2D/2.5D platforms. Chiplet System Integration is one of the 3D packaging-based products that Intel and other companies have produced for use in AI and HPCs. According to a recent report by Samsung Electronics, Rogowski coil current sensors in micro-electro-mechanical systems (MEMS) can be produced by stacking 12 memory chips greater than 6 × 104 TSVs [16,17,18,19,20,21,22].
Industries are investigating novel TSV filling technologies such as electroplated solder bumping (higher density than ball bumping and paste printing), ref. [23], hybrid bumping [24], nano-modified solder bumping [25], emerging HEA-based solder bumping [26], and bump-free technology [27]. Sakui et al. [27] created a wafer-on-wafer package that joins TSVs without any solder bumping. This method makes it possible to directly link bump-free TSVs between the top and lower Cu-fillings, resulting in a reduction in package thickness. A solid understanding of TSV and solder bumps is necessary to ensure the reliability of fine-pitch 3D chips. This review is concerned with how TSV and solder bumping affect joint reliability while constructing fine-pitch connectors. In light of the emerging solder bumping technology, composite TSV filling materials (Cu-X, X = metallic nanoparticles (NPs), carbon nanostructures (graphene, carbon nanotubes (CNTs)), etc.), this report also guides the reader towards the potential use of emerging HEAs in fine-pitch TSV and solder bumps in the future 3D packaging.

1.1. Moore’s Law

The ability to stack numerous chips together greatly boosts system integration and helps to fulfill the rising need for system performance (Higher than Moore) and functionality (Beyond Moore). Vertical continuity between neighboring stacked layers minimizes the global interconnect length, hence lowering the RC delay compared to traditional wire bonding [28]. The 3D chips provide several advantages, including better form factor, density, integration, cost-effectiveness, and performance, such as decreased power consumption and signal propagation latency [29]. Combining wire bonding [30] with TSV [31] is commonly utilized to exploit 3D integration practically. Deep reactive etched Si layer, dielectric insulation layer, super-conformal Cu-filling, and a metal-oxide-semiconductor (MOX) structure are the various parts in a high aspect ratio (HAR) TSVs [32]. TSVs offer vertical linkages between various layers in contrast to standard planar interconnects buried in the dielectric layer.
Nonetheless, owing to a differing coefficient of thermal expansion (CTE) and electrical properties of various layers, there are difficulties with mechanical stress [33] and electrical parasitic effects [34]. The TSV capacitance must be kept as low as feasible, and for this reason, using a low-dielectric liner might significantly decrease the CMOS backend capacitance and give various benefits for circuit performance [35]. When there is uneven heating that results in hotspots all over the chip, a steady TSV parasitic capacitance is also desirable. TSVs may be used as passive heat dissipation components incorporated into the 3D IC stacks because of the outstanding heat-dissipating capabilities of the Cu core and Si-chip. Thermal management poses a substantial issue for 3D technology as well. Nevertheless, the Si substrate experiences thermomechanical stress owing to a wide gap in the CTE of Cu and Si-wafer, which might potentially affect dependability. The electron/hole mobility of Si near the TSVs may also change because of this stress [36,37].

1.2. Evolution of Through-Hole Technology

Moore’s Law, developed in 1965, has been a major driving force behind the growth of the microelectronics industry for the past 50 years. After years of rapid scaling, lithography is already hitting its limitations, as observed recently [38]. The Si packaging efficiency consistently grows from 10 to 90 and 100%. Towards thinner interconnects, fan-out packaging where smaller dies are integrated may achieve higher production efficiency in future 3D packaging [39,40,41,42,43,44]. It is now commonly known that 3D integration makes it feasible to achieve high interconnect densities, wide bandwidth, minimum energy consumption and form factors, and the heterogeneous integration of numerous packages [45,46,47,48,49,50,51,52,53]. The superior bandwidth gained by the 3D integration of various memory devices has refueled interest in parallel and sequential HPC technologies, which are regaining popularity in cutting-edge fields like deep learning, neuromorphic computing, networks-on-chip, and 5G/6G communication networks [54,55,56,57,58].

1.3. State of Art Publications on TSV

In this review, a complete investigation of 3D TSV technology has been made with a focus on well-known die-stacking TSV and solder bumping methods. Recent advancements in TSV fabrication, TSV filling materials, functional layers, and bonding methods like Cu pillar bumping, ball mounting, paste printing, solder injection, electroplating, and bump-free joining have been overviewed to gain insight into their application in industries and related issues.
Figure 1a displays the percentage of TSV articles amongst other fields that were published between 2000 and 2023, including theses and patents on TSVs related technologies. As a reference, the various articles published by different publishers in last decade according to Cho et al. [59] is also shown in the chart (Figure 1b).
The main goal of the study is to review recent progress in TSVs and 3D integration for future manufacturing. The fundamental manufacturing procedures for TSVs, including various functional layers for 3D integration, are briefly summarized in Section 2 of this article. Section 3 is devoted to the methods of filling of TSVs, and various issues associated with them. Section 4 discusses various bonding methods of TSVs. Section 5 and Section 6 are based on the various bumping methods in detail, such as solder bumping and Cu pillars. Section 7 is related to hybrid bonding. Section 8 summarizes various reliability issues in TSVs, future recommendations followed by a brief outline of nanomodified and emerging HEAs solder bumps. A summary and forecast are provided in Section 9 to conclude the study.

2. Fundamentals of 3D Integration Technologies

The fabrication processes utilized for 3D integration are briefly discussed in this part, with an emphasis on TSV and wafer bonding technologies. We also emphasize the innovations that are especially suitable for MEMS applications.

2.1. 3D Integration and 3D TSVs

TSVs are used in this configuration to electrically connect the various chips and circuits on either side when stacking several wafers one on top of the other. Bonded metal bumps connect the neighboring chips across the bonding layers. TSVs are through-chip conductors that connect two chip surfaces. The bonding layers are made of a dielectric (insulator) and a metal. The dielectric (polymers, SiO2, air gaps) separates the conductors (metals, Cu, W) from the substrate (Si). A typical TSV consists of successive layers, i.e., adhesion, barrier, and seed layer onto the insulating dielectric [59,60,61,62]. A substantial portion of the 3D stacking uses TSV fabrication and wafer bonding [63,64,65]. Important steps in this process include Deep reactive ion etching (DRIE) to etch deep vias in Si wafers; deposition of a dielectric (SiO2) on the sidewalls; sequential deposition of adhesion, barrier, and seed layers (Cu) onto the dielectric; TSV filling through Cu electroplating; fabricating redistribution layers (RDLs) and metal bumps and further stacking of Si wafers. Highly-doped Si and W are mostly used via filling materials due to their tolerance to high temperatures (>1000 °C) in these techniques [65].

2.2. TSV Sidewall with Scallop-Free Etching

DRIE, metal-assisted chemical etching (MacEtch), and laser drilling methods have been used to fabricate TSVs in a silicon wafer. The DRIE process adopts plasma for anisotropic etching technology of Si and is the most widely used process, accounting for about 95% of the various methods of forming through-holes in silicon wafers [2,6]. The DRIE method includes Bosch and Cryogenic processes. The Bosch process is characterized by forming a “scallop” on the TSV sidewall (Figure 2a). The DRIE technique alternates between the etching and passivation stages to create through holes. Such repeated etch/deposit stages in DRIE lead to the periodic scallop formation on sidewalls in vias. The presence of a scallop can cause troubles such as copper ion diffusion from Cu-filled TSV to the inside of the SiO2 insulation layer and results in SiO2 failure and current leakage. This can lead to device performance degradation, and wiring reliability is reduced [66,67].
Several methods have been studied to control scallop formation in the TSV sidewall. The cryogenic process is one of the methods used to solve the “scallop” problem in the Bosch process, which involves supplying (O2 or CHF3 + C4F8)/SF6 gas and lowering the temperature of the substrate to −100 °C or less. However, the Cryogenic process requires a liquid nitrogen cooling device and increases the overall process time through the cooling/heating cycle [6,67,68]. MacEtch is also known to produce few or no scallops on the side walls, according to several studies [69,70,71]. This method can be performed in the laboratory without expensive equipment, allowing for the creation of a HAR structure [72]. The disadvantage of MacEtch is that metals used as catalysts, such as Ag, Au, Pt, Pd, and Cu, are expensive, and the diffusion of silicon can adversely affect the performance of CMOS, etc. [73,74]. Recently, the addition of TiN and various carbon nanomaterials such as CNTs, graphite, graphene, and graphene oxide (GO) have been reported [75]. It can also be applied to Si-free semiconductors such as Ge, GaAs, GaN, InP, and Ga2O3. Nuraini et al. presented deep etching micro-patterns in silicon using a new MacEtch method [76]. They used ultra-thin metal films to promote the “out-of-plane” mass transfer at the Cu/Si interface, which led to micrometer-wide holes. Deep vertical holes over 200 μm were etched at a high speed (>0.4 μm/min).
Murata et al. also investigated the MacEtch to evaluate the influence of etchant composition on the etching profile on a Si <100> wafer [77]. The etching rate increased with the molar ratio (ρ  = H F / [ H F ] + [ H 2 O 2 ] ), and Si-HAR structures were formed at a high etching rate (2.3 μm/min). Hanatani et al. have formed HAR vias on a Si substrate using the MacEtch process for the application of TSV holes [78]. The shape of the etching hole was improved from a bent to a straight shape by adding surfactants benzalkonium chloride (BKC) and polyethylene glycol (PEG). Nguyen et al. demonstrated the influence of SF6 flow rate on the sidewall shape [79]. When the flow rate of SF6 was 5, 10, and 15 sccm, the slower the flow rate, the deeper and more linear the etching appeared; that is, the TSV sidewall became straighter at 5 or 10 sccm than at 15 sccm. Morikawa has lately reported the “Scallop-free” (Non-Bosch) etching method in TSV using mixed gas plasma such as SF6 and O2 (Figure 2b) [80]. The smooth (scallop-free) sidewall can shorten the deposition process.

2.3. Plasma Dicing of Si-Wafer with TSVs

Plasma dicing produces fewer chippings after cutting and does not cause physical damage compared to mechanical or laser dicing. Thus, it demonstrates a better die quality and economy, which is suitable for dicing thin wafers [81,82,83]. Figure 3 shows a 300 mm plasma-diced silicon wafer plated with −7 to −10 mA/cm2 for 2.5 h [80]. The average silicon etching rate is 10 μm/min. Based on the electron microscopy observations, the uniformity of the Si-etching depth at nine locations exhibits good results with an error range of ±4.5%.
Westerman et al. have revealed that the die fabricated through plasma dicing had nine times higher die strength than dies that were cut mechanically [84]. In addition, 29,251 dies of 1 mm2 size were obtained from 200 mm diameter wafers using plasma dicing, showing a more efficient method than mechanical dicing (24,867 dies) and laser dicing (26,798 dies). A 775 µm thick Si wafer with a HAR of 13:1 and 20:1 has been attained utilizing plasma dicing [81]. They also showed how the process and sidewall quality for plasma dicing affected the die break strength at various orientations and presented a comparison of sidewall quality and process timings to traditional mechanical blade dicing for certain die sizes.
In order to improve etch features over TSV sidewalls, various plasma etch systems have been employed, such as inductively coupled plasma (ICP), capacitively coupled plasma (CCP), and electron cyclotron resonance (ECR) driven DRIE. High-density plasma formed at high frequency and high RF power in an inductor coil encircled by a dielectric is provided via ICP. Low damage is produced during ICP etching, while fast etch rates are maintained. ICP is available in a variety of configurations, including ferrite-core, planar-coil, and cylindrical-coil. An immersed ICP plus a traditional transformer-coupled plasma (TCP) placed at the chamber’s perimeter make up a planar ICP. In contrast, when reactive gases are introduced into the chamber, a plasma known as a capacitively connected plasma is created between the two electrodes. The plasma that results is referred to as CCP because the electrodes resemble the parallel plates of a capacitor. Whereas CCPs are usually utilized for dielectric etching, ICP plasma is usually employed for metal and conductor etching [85].
Because of the magnetic confinement of electrons in the source region, high-density ECR plasmas can form at low pressures with low plasma potentials and ion energies. Most people agree that ICP etching is superior to ECR in a number of ways, including simpler production scaling, better plasma homogeneity across a larger area, and reduced operating costs.

2.4. Functional Layers

A functional layer is a thin coating grown on the inner sidewall of the via before metal filling. The functional thin films are formed in the order of an insulating (dielectric), adhesion, and seed layer. According to previous studies, the SiO2/Ti/Cu structure is most commonly used as functional thin films [2,86,87]. Table 1 summarizes recent studies of functional thin films in TSV. Generally, SiO2 is used as an insulating layer, but in rare cases, Al2O3 can be selected. Most of the seed layer is copper, but sometimes Pt [88] or Ru [89] can be chosen. Recently, ultrasonic-assisted electroless Cu was plated to form the seed layer by Chen and Xiao [90,91]. This process can achieve a continuous and dense Cu-seed layer with high-efficiency deposition, better scallop-step coverage, and uniformity. The ultrasound helps Cu ions to diffuse into the vias more effectively and increases the reaction efficiency. The seed layer can be Au instead of Cu because of better oxidation prevention and chemical stability in the plating solution. The various trends in the development of functional layers of a TSV are summarized in Table 1.
Polymer liners on the sidewalls have been used as insulating layers to release the stress generated inside the vias [100,101,102,103,104]. Polymers have lower elastic moduli than SiO2, which can better relieve stresses caused by the CTE mismatch Cu, and lower dielectric constants than SiO2, which can reduce the TSV capacitance. Moreover, it has been demonstrated that Cu-filled TSVs can benefit over annular airgaps by lowering residual stresses for high-power devices [105,106,107]. Important adhesion and barrier layers are reported in the literature, i.e., Ti-TiN, Ti-TiW, and Ta-TaN in chip-scale technologies. Smaller and HAR TSVs require conformal metal coatings deposited by various atomic layer deposition and metal-organic CVD [108]. Previous reports have shown ALD-coated conformal Ru seed and TaN barrier layers in TSVs with fine diameters < 5 µm and aspect ratios > 25:1 [109]. Metal-organic CVD has also demonstrated the TiN barrier deposition in TSVs in HAR TSVs [110].

3. Conductive Material Filling in TSV

3.1. Cu-Electroplating

A TSV filling material should have high electrical conductivity and thermal reliability. Filling materials that can satisfy these requirements include Cu, W, poly-Si, solders, and so on. Copper electroplating is the most used method for filling conductive metal, as it is cheaper to process and facilitates mass-production [111]. However, careless copper electroplating can cause various defects in the copper filling [2]. A successful copper electroplating into a 4 × 50 µm2 TSV with an aspect ratio > 10:1 has recently been shown by Qiu et al. [112]. They used a TiN barrier layer followed by an electroless Co layer. The step coverage of the Co liner and conformal Cu seed layer were 97% and 75%, respectively, which enhanced the acidic Cu electroplating without gaps.
A TSV with diameters of 1.0 µm and 1.5 µm and aspect ratios of 7 and 6, respectively, was electroplated by Hwang et al. [113]. To increase the coverage of the barrier/seed layer steps, they used the TSV shape with scallop-free taper-ends and effectively filled the TSV with defect-free copper. Wang et al. added a single sulfonic acid derivative (3-(2-(4,5-dihydrothiazol-2-yl) disulfanyl)-propane-1-sulfonic acid) and observed void-free TSV filling and suppression of outgrowths as compared to existing commercial complex additives [114]. Typical parameters for various TSV technologies are given in Table 2.
Hwang et al. have studied the effect of bath agitation on TSV filling efficiency [117]. Without agitation, bottom-up Cu-filling was obtained by applying a higher current to 10 μm × 100 μm TSV. However, in 5 μm × 50 μm TSV, the Cu filling failed at a lower current without agitation. Moreover, 10 μm × 100 μm TSV showed a pinch-off defect with agitation at 1.0 A. Zhao et al. investigated the influence of current density on filling TSVs using electroplating [118]. The authors reported that a current density of 0.05–0.2 A/dm2 caused 100% via filling and prevented pinch-off defects. Kim et al. have reported a defect-free bottom-up filling of TSV (5 µm × 60 µm) with a pulse current of 3 mA/cm2 and a plating time of 900 s [119].
The influence of deposition current and time on the thickness can be seen through Faraday’s law (Equation (1)). The metal ions receive electrons from the cathode, which are then reduced and deposited, and the weight (W) of the plated metal ions is proportional to the current applied and the plating time.
W = Z × Q = (A/nF) × Q = (A/nF) × it
(Q = electric charge (C), i = plating current (A), t = plating time (s), Z = electrochemical equivalent (g/C), F = Faraday constant (96,487 s.A/mol), n = number of electrons transferred [120]. During the creation of TSVs, conductive metals are inserted within the through after functional thin films have been deposited there [120]. Equation (2) illustrates how metal ions (like Cu2+) are deposited within the vias when they acquire electrons from the cathode during the electroplating process, which uses the Si wafer as the cathode. According to Faraday’s law, the quantity of metal deposited is proportional to the current flow (Equation (3)).
Cu2+ + 2e → Cu
W = ZQ
The schematic illustration of copper reduction at the interface is shown in Figure 4a. Optimization of plating additives and current waveform during electroplating results in the minimization of defects and improves filling efficiency. TSV defects, however, can result from an electric current concentration at the TSV’s entry corner during the electroplating process. Based on the geometry of the filled TSV, TSV filling may be divided into sub-conformal, conformal, and super-conformal filling [121,122,123]. The Cu electroplating and various classes of filling profiles are schematically shown in Figure 4a–d. Sub-conformal filling (Figure 4b) creates a gap within the TSV, whereas conformal filling (Figure 4c) produces a seam because the entrance corner plating moves more quickly. Super-conformal filling (Figure 4d) and faster plating from the TSV bottom are the only methods that will result in a flawless filling. The filling has been super-conformal, according to Lee et al. [123] and Hoffmann et al. [124]. Direct current (DC) filling of TSVs with conductive metals, such as copper, results in sub-conformal filling and the production of voids and seams at the entry corner of the TSV. Pulse current can be used to avoid these flaws. The early closing of the via entry is hampered by the need to alternate between the reduction current to fill the TSV and the oxidation current to dissolve any extra Cu on the surface. Setting the oxidation current to zero is an alternative strategy, although it can result in copper outgrowths due to unstable deposition rates [125,126].

3.2. Role of Additives and Process Conditions

The plating solution must comprise an accelerator, a suppressor, and levelers to use the pulse current procedure for filling TSV. Compared to bis-3-sodiumsulfopropyl disulfide (SPS), PEG often serves as a suppressor [127]. Different organic compounds such as levelers (Janus-Green B (JGB), di-azine black (DB), and methylene-violet (MV)) are used (Figure 5a) to fill TSVs perfectly. These levelers affect the current-potential kinetics and affect the Cu-deposition morphology in TSV [128]. The morphology of Cu filling material according to different chemical additives is investigated by Jung et al., as illustrated in Figure 5b–e [129].
Copper is not consistently plated in the absence of additives, as shown (Figure 5b). The surface morphology appears very rough, with nodular growth all over the cathode surface. In contrast, the surface morphology of Cu plated from JGB, DB, and MV was reasonably smooth and uniform (Figure 5c–e). Because levelers have a low concentration (50 ppm), they disperse and cling to the Cu surface well and improve further deposition [129]. These additives restrict the development of the plating layers as they adhere to the entry edge, enhancing the via filling quality. They are, therefore, regarded as inhibitors, whereas levelers are necessary to complete a perfect TSV filling. Several investigations have concentrated on altering the additives’ composition in the plating solution. The addition of PEG-PEG/SPS-I suppressor caused a decreased filling time by 50%, as shown by Kim et al. [130] by adding thiourea. Sung et al. [131] replaced the bromine ion in PEG-PEG/SPS-I with the I-ion to avoid the formation of unstable CuI, which reduced the filling time by 50%. Using the innovative leveler sulfonated-diallyl-ammonium bromide copolymer, Dinh et al. reduced the filling time by 5 min [132]. Similarly, Ha et al. [133] utilized sulfonated diallyl-dimethyl-ammonium chloride copolymer effectively to achieve a filling time of 3 min.
There have been several studies on different plating additives to optimize and enhance the TSV filling. For instance, Shin and his co-workers tuned the composition of multicomponent additives, i.e., PEG (suppressor), SPS (accelerator), and JBG (leveler), to achieve defect-free Cu filling in different aspect ratio TSVs [134]. Similar research has been conducted by Wu et al. [135], who investigated the interactions among different plating additives to obtain defect-free Cu filling. Tomie et al. [136] noticed that the levelers played an important role in building bottom-up Cu filling in TSVs even if the suppressors cover the Cu seed layer. The Cu-filling procedure was made simpler by Wang et al. [137] and Le et al. [138], as opposed to prior studies that utilized many chemicals simultaneously. Le et al. [138] proposed the use of 3-(1-pyridinio)-1-propanesulfonate (PPS) at a concentration of 5 g/L and a current density of 0.2 A/dm2 for defect-free TSV filling.

3.3. PPR Plating

The aforementioned discussion shows that it is difficult to find the optimum amounts of various additives in the plating bath for TSV filling. To overcome this concern, various methods have been developed to improve the plating speed using pulse reverse (PR) technology [139,140,141,142,143]. In general, copper deposition happens during the cathodic current cycle, and anodic dissolution takes place during the current-off cycle. The ions migrate to the favorable position, and layer growth occurs during the current-off cycle. However, perfect filling in TSV utilizing PR current is difficult, according to Lee et al. [123]. The authors recommended periodic pulse reverse (PPR) to improve the filling efficiency. PPR waveform contains a periodic variation of current, keeping the anodic cycle fixed. Nevertheless, the authors revealed that when the electrodes are 3 cm apart, the anodic and cathodic current densities were 30–50 mA/cm2 and 7–10 mA/cm2; sub-conformal fillings were achieved, which resulted in the formation of voids and seam defects. Likewise, Hong et al. [121] found that plating occurred near the mid portion of TSV, resulting in the creation of void and seam defects at a current density of 7.71 mA/cm2. This could be a result of the uneven bottom-up filling, which led to a Cu-seed point in the middle portion of TSV. Accordingly, Hong and his co-workers recommended a current-off delay between the cathodic and anodic current that lessened the via defects. Copper was plated during the reduction current, and overgrown layers were dissolved in the electrolyte during the oxidation cycle; with relaxation during the current-off phase, the dissolved Cu2+ ions diffused to the electrolyte. This is how the Cu grows in TSV when the PPR current waveform is used. This procedure aids in maintaining TSV open, preventing the development of voids and seams. Using a high-frequency and short-duty cycle PR waveform is another way to enhance Cu filling. This technique can encourage bottom-up filling and prevent outgrowths, as claimed by Jin et al. [144]. This study demonstrated that a TSV of size 6 × 60 µm2 could be filled in 30 min plated at 2 mA/cm2.
As the plating and etching phases occur at the reduction and oxidation currents, respectively, the pulse current plating technique is renowned for its prolonged filling time. Scientists have been trying to find a technique to speed up the filling process. Jung et al. investigated the effect of the filling time of TSVs (60 µm × 120 µm) using two-step PPR plating for low alpha solder bumping [145]. The authors found that the Cu layer grew with cathodic current and time, and a bottom-up filling was obtained (Figure 6a,b).
The Cu-filling in TSVs at various current densities of −4, −6, −8, and −10 mA/cm2 (anodic current density = 16 mA/cm2, plating time = 90 min). Inspection of Figure 6a shows that the filling tendency improves with increasing current density. The maximum filling tendency was achieved at −10 mA/cm2. The voids and cracks are introduced due to entrapped air at high current densities. The effect of plating time was further verified by fixing cathodic and anodic current densities at −8 and +16 mA/cm2, respectively. Figure 6b demonstrates the Cu filling tendency inside the via plated at 2, 4, and 8 h. The filling efficiency increased to 100% at 4 h using the optimized PR current parameters. Further, the authors also electroplated low alpha Sn-1 wt.% Ag-0.5 wt.% Cu (SAC105) microsolder bump of 83 µm reflowed at 245 °C for solder bumping applications.
Other research by Hong et al. [146] has shown that the filling time could be lowered by 1.36 times when using Cu-Ni alloy filling via PPR plating. Researchers have recently used ultrasonic vibration to increase the Cu filling rate and TSV filling speed. For instance, Xiao et al. [147] used 105 W ultrasonic for 5 h to fill TSV with a HAR (20 × 200 µm2) and obtained a filling ratio of 98.5%. Similar research was conducted by Wang et al. [148] on the effects of accelerator composition, current density, and ultrasonic waves on Cu filling. They improved the filling ratio by 23% with the use of ultrasonic technology, and they filled a 20 µm × 60 µm TSV in 3 h. Moreover, Zeng and his co-workers used pulse current and ultrasonic technology to fill a 20 × 60 µm2 TSV within 150 min without any noticeable defects [149].

3.4. Electrical Properties of TSV

As TSVs are employed in many forms depending on chip needs, it is crucial to look at the factors that might influence their electrical characteristics, such as their shape, stacking method, and arrangement structure [150,151]. The impedance values of several TSV forms, including cylinder, square, elliptical, and triangle shapes, were compared by Jeong et al. [152]. The authors measured the voltage by applying current through an unknown resistor and analyzing the results using a four-point probe measurement technique. The square TSV shape outperformed other forms in terms of electrical properties at high frequencies and impedance reduction. Due to its huge outside area and higher insulating layer, the quadrangular TSV form offers stronger signal shielding than other designs.
Park et al. [153] predicted the electrical properties of several stacked TSVs, their aspect ratio, and the sidewall thickness for a single Si substrate. The authors claimed that as the density of stacked TSVs increased, the total resistance and capacitance of the system also increased in proportion to the width and depth of the TSV. The total resistance after stacking could be decreased using HAR-TSVs, while the capacitance could be decreased by raising the via pitch and SiO2 layer thickness. Belaid et al. [154] developed a model to calculate the time-domain noise in 3D chips. The authors used inverse Laplace transform and chain matrices to demonstrate that horizontal connections and the type of I/O terminals affect the via noise coupling.

3.5. Reliability Studies on TSVs and Thermal Management

It is crucial to fill TSV with conductive material without any flaws, such as extrusions, voids, or seams (as illustrated in Figure 6), that affect the reliability of TSV to guarantee reliable interconnections at high thermal loading. The possibility of thermal stresses between the Cu-plated layer and the Si wafer caused by the CTE mismatch led to extruded growth of Cu at elevated temperatures. This may result in wafer warping and short-circuiting the entire 3D package [144]. As-plated Cu microstructure and plasticity behavior are two factors that affect extrusion failure. TSVs that go through thermal annealing after plating, however, behave linearly elastically without experiencing an increase in thermal stress [155]. The signal transmission in stacked chips may be hampered by the delamination of Cu from Si, but this problem may be resolved by lowering the TSV width or the Si/Cu CTE mismatch [155]. Different approaches have been suggested by certain researchers to control this issue. For instance, Jung et al. [156] demonstrated that replacing the Cu-filled TSV with a Cu-Ni alloy controlled the growth of Cu-protrusions during annealing. The surface topography of protruded Cu from the TSV structure after annealing at various temperatures is shown in Figure 7a–f.
The protruded height of the Cu/Cu-Ni filled TSVs was measured with 3D surface profile as shown in Figure 7g,h. After estimating the protrusion height with 3D surface profiles, the authors observed that the Cu-extruded growth was reduced significantly from 1360 to 1250 nm (an 8.8% reduction) when annealed at 450 °C. Roh et al. [65] electrodeposited Cu-W in tapered-shape TSV with entrance and bottom diameters of 44 µm and 34 µm and a height of 60 µm. The authors studied the extrusion characteristics of the Cu and Cu-W -filled TSVs. The results showed that the extrusion was suppressed by 44% after annealing at 450 °C in Cu-W flilled TSV compared to Cu-filled TSVs.
The extrusion-inhibiting effects of additives such as mercapto-benzimidazole sulfonic acid and thiourea at 400 °C were reported by Sung et al. [20] using TSV (5 µm × 60 µm). The aromatic structure of the material caused the 2M5S compound to diminish the Cu protrusion by 84.9%. The homogeneity of TSV was nevertheless decreased by the Cu (I)-2M5S compound that developed during plating. Thiourea, on the other hand, prevented Cu from protruding by 69.2%. Moreover, Jin et al. [144] showed that extrusion of Cu-filed TSV (6 µm × 60 µm) could be effectively decreased by applying a short pulse width and high frequency of 2222 Hz at 430 °C.
Recent researchers have focused on adding NPs in Cu-filling material to reduce the CTE mismatch and residual stresses between the Cu-filling and Si wafer. The CNTs have been used as a viable choice because of their excellent mechanical and electrical conductivity at the nanoscale [157]. Remarkably, compared to 72.9% for copper alone, the electrical resistance of a Cu-CNT composite is just 57.3%, according to Sable et al. [158]. In addition, the Cu-CNT-filled TSVs with graphene nanostructures served as better interconnects than pristine Cu. Their results showed that the interface resistance of CNT/graphene and Cu-CNT/graphene was reduced by 80.5% and 64.2%, respectively. The filling of the CNT-Cu composite in the TSV interposer was examined by Chen et al. [159]. The electrical conductivity (≈ 2.5 × 105 S/cm) and the CTE (≈ 7 × 10−6/°C) values of the Cu-CNT filled TSVs were comparable to those of pure Cu and Si-wafers, respectively. Lwo et al. performed a Weibull analysis to analyze the performance of TSVs in harsh conditions [160]. They discovered that the durability of TSV was significantly impacted by thermal cycling and bias current. In biased samples, voids developed in the TSV corner, while oxidation, delamination, and flanking caused further reliability issues. Using finite element modeling, Jung et al. [152] investigated the Cu-filled TSV extrusion and stress distribution. They discovered that multilayer TSVs had greater fracture rates and worse reliability because of increased thermal stresses caused by the interaction amongst various layers. Moreover, they found that compared to elliptical and triangular TSV designs, cylindrical and quadrangular TSVs demonstrated superior mechanical performance. There are limited studies on TSV extrusion with the inclusion of NPs, even though elements affecting TSV reliability, e.g., via shape and filling materials, are documented already.

4. Bonding Methods in 3D Integration

Wafer bonding methods in 3D integration include dielectric and metal bonding [161,162]. Metal bonding binds metal bumps on two wafers to create electrical connections, despite dielectric bonding adhering to the wafers rather than the metal to boost the wafer strength. Whereas adhesive and fusion bonding are dielectric bonding methods, thermo-compression bonding (TCB), eutectic, and TLP are metal bonding methods [163].

4.1. Metal Bonding

TCB joins metal bumps via atomic diffusion in the solid state at temperatures typically between 200 and 400 °C. Enough pressure is applied to promote creep, shift grain boundaries, and increase diffusion for maintaining excellent contact at the interface. High temperatures and pressures cause metal atoms to diffuse over the interface barrier, which results in the formation of metal bonds and the successful joining of metals. Cu-Cu, Au-Au, Ti-Ti, and Al-Al bonding are just a few of the TCB approaches that have been used in 3D integration [164,165,166,167,168]. Among these, Cu-Cu bonding is most popular owing to its compatibility with TSVs, low process temperature, high strength, and improved electric conductivity. Cu-Cu bonding occurs effectively at room temperature (RT), while Al-Al bonding occurs at ~100 °C, as seen in the past [169]. TSVs may be directly used for Cu-Cu bonding, whereas microbumps are necessary for other metal bonding processes [170]. The development of eutectic systems of metals, on the other hand, entails a direct transition from solid to liquid or vice versa at a certain composition and temperature without going through a two-phase equilibrium [171]. When two metals are heated and brought into touch with one another, separate atoms create a combined super-lattice owing to atomic inter-diffusion. At eutectic temperature, the eutectic composition turns liquid, which, after solidification, joins the two metals. Eutectic bonding has been employed for hermetic packages using refractory metals such as Au-Si, Au-Sn, Au-Al, and Al-Ge [172,173,174,175,176,177].
In TLP bonding, an intermediate layer made of a low melting point metal layer is employed between two high melting contacts. The low-melting metal melts down when heated, resulting in faster solid-liquid diffusion and homogeneity of the liquid because of the higher atom mobility in the liquid phase. The interaction between the liquid and the solid melts joins the two together, forming intermetallic compounds (IMCs) after cooling. Temperatures are frequently raised by 20–30 °C above melting point to encourage proper bonding [178]. The advantage of TLP bonding is that it enables the joining of new layers without melting the current bonding metals during the succeeding bonding process since the resulting IMCs have a melting point greater than the process temperature.
Liquid-state bonding offers a high tolerance for topographical variations and surface oxides, as well as the ability to minimize stress and high yield at low pressure and temperatures. However, wafer sliding may impair the accuracy of post-bonding alignment due to thicker bumps in TLP bonding [179]. The most popular TLP material has historically been Cu-Sn owing to a low-temperature process (260 °C) and scalability [180,181,182,183]. Moreover, TLP bonding for 3D integration has utilized Au-Sn and Au-In [184,185,186].

4.2. Dielectric Bonding

Dielectric bonding techniques, such as polymer adhesive and SiO2 fusion bonding, are widely utilized to connect sections of chips. An interlayer of polymer serves as a bonding glue in adhesive bonding, which joins the chips together [160]. Epoxy [187,188,189], BCB (benzocyclobutene) [190,191,192], polyimide [193,194], and impression resist [195,196] are examples of thermosetting polymers that are often used as adhesives. BCB is a popular choice in 3D packaging because of its beneficial properties, which include strong bonding, less out-gassing, good chemical and thermal stability, and high bonding strength [187,188,189,190,191,192,193,194,195,196,197,198,199]. Adhesive bonding often requires high temperatures (frequently between 100 and 300 °C) and substantial bonding pressures to force adhesives to flow, taking into account variations in wafer thickness while easing the restrictions on surface topography. Wafer sliding, however, can result in severe post-bonding alignment problems because of the flowability of adhesives.
Fusion, or direct bonding, joins the wafers at RT, followed by high-temperature annealing to produce fine SiO2 layers on the Si substrate [200,201]. After wet/plasma etching, the SiO2 surfaces chemisorb H-O-H molecules and produce Si-O-H groups. Most of the Si-O-H groups polymerize at RT by creating (Si-O-Si) groups, which bond the two Si substrates strongly during annealing at 180–200 °C. The wafers must be very flat and smooth, with roughness < 0.5 nm and warpage < 20 µm, to achieve defect-free contact across large areas [202]. To provide mechanical support, typical polymer layers include acrylics, thermoplastics, polyimides, and elastomers [203]. Nowadays, polymers that can resist temperatures of up to 250–300 °C are occupied in the market, and efforts are currently being made to produce materials that can tolerate even higher temperatures [204,205,206,207].

4.3. Hybrid Bonding

Wafer joining and electrical connection are made possible by hybrid bonding that combines metal and dielectric bonding. Cu/SiO2 or Cu/adhesive bonding are the two most frequently used hybrid bonding methods [208,209]. Cu bumps and SiO2 layers are joined by RT bonding and subsequent annealing at 200–400 °C. Before bonding, CMP is necessary to planarize the SiO2 layers and Cu bumps [210,211,212]. The dish effect of Cu CMP [213], which causes the Cu to retreat at lower regions, limits RT bonding to the SiO2-SiO2 interface. The SiO2-SiO2 bonding is improved by the following annealing, which also brings the recessed Cu bumps into touch and bonds them due to their substantial thermal expansion. The industry makes widespread use of the resultant hybrid bonding method created by Ziptronix and marketed as DBI [214]. An important benefit of Cu/SiO2 bonding is high-density, good alignment, and bump-free bonding [215].
Cu/BCB adhesive bonding is more convenient to perform than Cu/SiO2 bonding because the adhesive deforms and relieves the surface topography. Partially cured BCB can be reflowed at high temperatures to adjust for surface projections between Cu and BCB [216]. As high temperatures might degrade BCB, hybrid bonding should be performed at moderate (about 200–250 °C) temperatures, followed by curing at 300–350 °C.
Low-temperature bonding is also achievable in TLP and adhesive bonding of low-temperature, Cu-Sn/BCB couple [217,218]. The liquid-state Sn may flex under the applied pressure during the Cu-Sn/BCB bonding process, resulting in a narrower and lower metal bump [217]. It is necessary to develop bonding adhesives before using BCB or polyimide for hybrid bonding. One can use dry etching, photopolymer adhesives [219], or spin coating and polishing [220]. An alternative method for creating adhesive layers involves applying dry films or laminating insulator underfill onto wafers before bonding [221,222]. At the proper temperature and pressure, the Cu bumps maintain their rigidity, whereas the dry films become softer during bonding. Direct Cu-Cu contact and bonding are made possible because of the pushing out of the dry films that were previously present between two Cu bumps.

5. Solder Bumping

Bumps in Si-dies can be formed by various materials such as Sn-based solders, Au, Cu/Ni, and Ag; however, solder is the popular choice for high-performance semiconductor packages like 2.5D and 3D TSV [223,224]. Sn-based solders have excellent electrical conductivity and heat emission capability that enable the maintenance of high-density interconnection [225]. The various bumping methods (ball mounting, electroplating, solder paste printing, solder immersion, and solder injection) are schematically illustrated in Figure 8a–e. Among various bumping methods, electroplating is commonly used, which is almost 80% of the bumped wafers because it is inexpensive, easy for mass production, and creates fine bumps uniformly [226,227,228].
Yusuf et al. studied the effect of various solder compositions (Sn-40Pb, Sn-3.5Ag, SAC105, SAC305, etc.), surface tensions, densities, and geometry of solder bumps [229]. The range of solder density was 7.44 to 8.40 g/cm3, and that of the surface tension was 475–548 mN/m. According to statistical analysis using Statistical Package for the Social Sciences by IBM, the Pearson correlation coefficient (PCC) between the maximum bump width and the surface tension was −0.643, which indicates a close relationship. In addition, the PCC between the maximum standoff and solder density was −0.631, indicating an excellent match with the experiment.
Kim et al. have evaluated the microstructure and reliability of micro-bumps in TSV packages under high temperature aging at 125 °C, 150 °C, and 180 °C with different under bump metallurgies (UBMs) of Cu/Ni/Sn (solder), Ni/Sn, Ni/Cu/Sn [230]. No failure was seen in the TSV samples until 192 h at 180 °C for the Cu/Ni/Sn and Ni/solder samples, and their calculation showed aging at 150 °C for 1008 h was entirely safe. The IMCs are formed during soldering and under the service temperature. The IMC is formed between the Sn layer and UBM, which grows according to the surrounding conditions. IMC can have negative effects on void formation, wetting, reduced fatigue life, and tensile strength, so it is desirable to suppress IMC growth. In addition, previous studies have shown that the solder joint reliability decreases as the Cu6Sn5 IMC layer overgrows [72,231,232].
Table 3 shows the activation energies (Q) summarized from previous studies to form IMCs in solders. For Sn-3.5Ag, the activation energy is 65.9 kJ/mol, and for Sn-3.5Ag-0.007GNS, it is 77 kJ/mol [233]. Additionally, Sn-3Ag-0.5Cu and Sn-3Ag-0.5Cu-0.1 nano-TiO2 have Q of 57.71 kJ/mol and 69.32 kJ/mol, respectively [234]. In these studies, the addition of NPs increased the Q of IMC formation. According to Guo et al., NPs generally suppress the growth of IMCs in the solder [235]. The larger the Q value, the slower the reaction between Sn and Cu atoms and the more suppressed IMC growth.
Si chips are being incorporated more and more in the big data era to meet the rapidly expanding user demands. The development of distant learning and home offices has also significantly raised the demand for innovative electronic packages in the post-COVID-19 virus age. As microelectronic packaging in 3D integration requires a hierarchy of solders, low-melting solders must be developed to increase processing tolerance and create a broader processing window. Corresponding to this, low melting point lead-free solders have drawn growing technological interest in recent years [245]. High-temperature soldering increases the risk that the electrical devices being joined are susceptible to heat damage [246]. Low-temperature solders have also been prescribed for solder bumping applications in 3D packaging. For instance, flexible electronics, diodes, and liquid crystal displays require soldering at temperatures lower than 183 °C (melting point of Sn-Pb solder).
The eutectic points of Sn-Bi and Sn-In are lower than those of Sn-Pb and SAC 305 solder, which have eutectic points at 183 °C and 221 °C, respectively. The Sn-Bi solder is too brittle, and the Sn-In solder is ductile and has poor fatigue behavior [247]. Numerous research investigations have been conducted in this manner. According to the alloying additions, Sn-Bi-X-based alloys have a wide range of melting points. A thorough analysis of melting points and wetting properties is needed to identify appropriate applications. The melting point of Sn-Bi solders is affected by several metallic additives, including Cu, Ag, Ti, Sb, In, Zn, Co, Ce, and La, as well as oxide NPs (CNT, Y2O3, Al2O3, ZrO2, TiO2, Cu, and Ni) have been added [248,249,250,251,252,253]. The various compositions of low-melting Sn-Bi and Sn-Bi-X and their melting characteristics are summarized in Table 4.
The eutectic Sn-58Bi solder shows a melting point of 139 °C in the phase diagram [254]. According to the National Institute of Standards and Technology (NIST), the eutectic composition is Sn-56.97 wt.% Bi, and ASM International classifies it as Sn-57 wt.% Bi at 139 °C in the phase diagram. Nevertheless, Sn-58 wt.% Bi within a melting temperature range of 138–139 °C is also considered to be eutectic composition in numerous articles [254,255,256,257,258,259,260,261,262]. Eutectic Sn-In solder, on the other hand, exhibits exceptional softness and ductility. Eutectic Sn-In runs at a relatively high homologous temperature due to its 120 °C melting point, making its low creep resistance problematic [246]. Only minimally and with restrictions can the qualities of these two alloys be improved by the inclusion of a third element. The Sn-Bi-In ternary alloys are extremely complex, and as of yet, no organized study has conclusive findings [258,261]. The In32.5 wt.% Bi16.5 wt.% Sn solder, with an extremely low melting temperature of about 59 °C, has received the most attention [265]. Due to its low melting temperature and the fact that it is neither excessively brittle nor too ductile, solder can be used in flexible electronics. The limitations of the Sn-Bi-X and Sn-In-X solders are mostly understood. However, additional studies on ternary and quaternary solders should be conducted in the future.

6. Cu Pillars

According to the trend of the smaller bump size and finer pitch, the pitch of the first-generation bump, more than 130 μm, has reduced to less than 30 μm in the recent third generation [266]. However, decreasing the bump size makes the underfilling process difficult. A Cu pillar bump (CPB) can provide a solution to this problem. The CPB is a cylindrical copper column instead of a conventional solder bump that connects a silicon chip to a substrate, and sometimes, the CPB has a solder cap. We have compared the Cu pillar bump with other solder bumping methods (ball mounting, solder paste printing, solder injection) in Figure 9. We can see that it is easy to adjust the gap between a Si-die and a substrate by changing the height of the CPB column, and the CPB improves contact resistance [226,267]. This direct chip connection method is referred to as a Chip Connection (C2) method [268] and is used for high density, fine pitches [269], and 3D chip stacking [223].
In an acidic electroplating solution made up of SnSO4, H2SO4, Ag2SO4, thiourea, and a few additives, Sharma and his co-workers effectively plated Sn-Ag bumps on the Cu-filled TSVs [21]. The authors used 40 min of plating time at the current density of 55 mA/cm2 to achieve eutectic composition. Further, the solder bump prepared was spherical and had the dimensions 35 µm × 46 µm. The solder bump was reflowed, and the joint shear test was measured. The results showed that shear strength increased by 35 MPa when the shear rate increased to 10 mm/s. A brittle IMC fracture mode was produced at higher shear speeds, which reduced the joint shear strength.
As shown in the Cu pillar method, a solder cap is formed onto the Cu pillar to join every Cu-filled TSV physically and electrically to stacked TSVs. Si is often electroplated using a photoresist and lithography to regulate the bump size precisely [270]. Tanida et al. [223] used a photoresist mold to create a small bump with a fine pitch. It could also be used to retain a space between the Si chip and prevent solder bridging of the Cu pillar. On the Cu-TSV, Sn- and Sn-Ag bumps were created using this method [271].
The pick and place procedure is used to micro-ball bump Sn-Ag and SAC305 solder on the TSV. Solder ball bumping is the most economical method that allows different solder bump sizes and solder balls. A high-precision assembly setup is necessary for choosing and arranging the micro-bumps on a fine-pitch Si chip. Using a Cu-filled TSV (60 µm × 120 µm), Jung et al. [145] demonstrated low alpha Sn-Ag solder ball bumping. The Cu-filled TSV was covered with a low-alpha SAC105 solder ball, which was reflown for 10 s at 245 °C peak temperature. In high-density electronic packaging, low alpha solder is useful for preventing soft errors. Numerous Cu6Sn5 IMCs were generated after reflow at the Cu/TSV interface. The IMCs thickness increased from 1.71 to 4.05 µm after aging at 85 °C/150 h.
The procedure of injecting solder onto a copper pillar is also known as injection molded solder (IMS). The tip on the Cu pillar is used in this procedure to force out molten solder metal [272,273]. The solder injection technique has the benefit of making ternary system solders like Sn-Ag-Cu. Unlike electroplating, the procedure is straightforward, and the bump geometry is independent of the placement on the wafer. Moreover, solder bumps of different sizes may be created, and the process is flux-free. A micro-bump is created via paste printing on TSV using a fine-pitch stencil and reflow. Several factors, including substrate surface quality, substrate-stencil ratio (pitch size, thickness, and gap), print speed, and pressure, must be carefully considered to execute paste printing properly and generate solder bumps [274].
In ultra-fine pitch TSV applications, paste printing is typically avoided due to poor print density. The solder paste-printing procedure has been made easier with current laser edge technologies and type-7 solder paste (size distribution: 2–11 µm). Moreover, paste printing provides advantages like cost-effectiveness and a simple process. Kim et al. printed Cu pillars on a flip-chip package using type 5 and type 7 solder pastes with a metal mask (30 µm × 50 µm) with an opening ratio of 70% [275]. The results showed that suitable printing could be accomplished with type-5 (10–25 µm) and type-7 (2–11 µm) solder pastes. Moreover, when the mask thickness was lowered to 30 µm (opening ratio of 100%), only type 7 solder paste was found appropriate for printing. The paste-printing method was also used by Kumar et al. [276] to produce a chip scale bump using type 7 solder paste. The authors used Ni-Co stencil with a pitch size of 120 µm and an opening size of 30 µm to form a solder bump of 100 µm. The design of the experiment method was applied to determine the ideal printing parameters. It was discovered that 7 kgf and 20 mm/s were the ideal squeezing pressure and print speed for defect-free bumping.
Recently, Xu et al. have performed an electromigration test using a copper pillar with Sn-1.8Ag solder and a current density of 1.5 × 104 A/cm2 at 125 °C [270]. During electromigration, a large number of IMCs (mainly Cu6Sn5 and Cu3Sn) were accumulated at the anode. In addition, Kirkendall voids were formed in the cathode due to vacancy flux. Sn-grain with large α (α: angle between the c-axis of the Sn-grain and the electrons flow) showed excellent electro-migration resistance by delaying Cu diffusion and IMC accumulation. Li et al. have investigated the thermomechanical reliability of a flip-chip device using a Cu pillar/solder joint [277]. Individual thermal cycle tests were performed for the Cu pillar/Sn-Ag/Cu pad Cu pillar/IMC/Cu pad joints using TCB. Fatigue cracks in the Sn-Ag solder joint were generated at the corner of the Cu pillar and propagated along the micropores in the solder. The Cu pillar/IMC/Cu pad joint showed a lower lifetime than the Sn-Ag joint due to the presence of cracks at the interface of Cu6Sn5 and Cu3Sn IMCs.

6.1. Low-Temperature TLP Cu Pillar Bonding

A low-temperature TLP bonding for Cu pillar using a novel nano-grained solder layer was performed in our previous research [271]. As shown in Figure 10a, the Cu pillar with a diameter and height of 30 μm and 15.5 μm was joined with a nano-grained Sn-Ag solder (5 μm) on the top of the Cu pillar (Figure 10a). Generally, the melting point of a metal powder with several nanometers in size is significantly lower than that of one with a size over micrometers. In the case of Figure 10b, the melting point of the nano-grained Sn-Ag solder was lowered to 140–150 °C, and Cu pillar/Sn-Ag/Cu was reflow soldered at 170 °C. Then, the melted solder solidified at 170 °C through isothermal solidification (i.e., the liquid solder transformed to solid at the same temperature of 170 °C), which is called TLP bonding. The eutectic temperature of a general Sn-Ag solder is 221 °C, and the normal soldering temperature is around 240–250 °C. Thus, bonding at 170 °C Cu pillar/Sn-Ag/Cu is a fairly low temperature. In the joint, the atoms in the solder melt diffuse towards the Cu pillar and Cu-pad, and Cu atoms diffuse from the pillar to the solder until isothermal solidification is complete. Consequently, the solder joints of Figure 10b,c show different solidified microstructures from the existing non-TLP bonding with a eutectic structure. After bonding, the melting point of the TLP joint increases due to the change in eutectic microstructure, and thus, the TLP joint has excellent properties at high temperatures where it does not re-melt at the bonding temperature.

6.2. Bump-Free Stacking of TSV

The newest way of joining for 3D connection is bump-free joining. The package-on-package connection technique, which uses TSVs without bumps, was initially introduced by Sakui et al. [27]. Bump-free TSVs may be attached between the top and bottom Cu pillars to thin down the package. The authors have reported a thickness of 600 µm for bumped chips and 60 µm for bump-free connections in a 3D stacked system of TSVs with six dies for a multicore central processing unit (CPU). Hence, a factor of 10 is taken off the die thickness. Bump-free joining indicated a temperature gain of 5.8 °C when eight stacked dies with solder bumps on TSVs were compared. Bump-free technology is used instead of conventional TSVs with micro-bumps that experience temperature rises, allowing for the creation of bump-free stacking of TSVs in HBM (High Bandwidth Memory) with eight dies. The authors also suggested using bump-free technology to create an object with a volume of 50 mm3 and a consumption of 0.5 mW in modern AI robots containing a CPU, ultra-small business, HBM, and memory sensors.

7. Hybrid-Bonding of Cu and SiO2

Among various Cu bonding methods for implementing 3D packaging technology, there are surface-activated bonding (SAB) [278], self-assembled monolayer (SAM) [279], nt (nanotwinned)-Cu [280], metal passivation [281], and hybrid bonding [282]. Of these, hybrid bonding technology is receiving a lot of attention as the core of next-generation 3D IC technology [283,284]. Hybrid bonding is a bump-free bonding method that combines a metal with an oxide or a metal with a polymer and physically and electrically connects two substrates at the same time [285,286]. In addition, fine pitch bonding can be achieved without solder-capped micro-bumps, which improves bandwidth and power efficiency due to more interconnects. The existing bonding process has low processing performance, and scaling to a pitch smaller than 40 μm is difficult [85]. Thus, to achieve the ultrafine pitch required for future high-performance devices, hybrid bonding is essential [287].
Among them, the Cu-SiO2 hybrid bonding method is most likely to develop into a next-generation packaging process. The Cu-SiO2 hybrid bonding can generally be formed using a copper dishing control method [288]. This technology is also known as direct Cu-bonding or direct bond interconnect (DBI), which was developed by Ziptronix, Inc. [266,283]. The direct Cu-bonding proceeds through two steps (Figure 11). In the first step, a dielectric-to-dielectric bond is formed at RT, and in the second step, a Cu-to-Cu connection is performed through batch annealing (150–300 °C). Since there is a difference in the CTE of Cu and SiO2, copper with a large CTE expands during annealing, contacts each side of the copper, and completes the diffusion bonding. As this process combines the surfaces of the two materials at the atomic level, it is important to have a surface roughness within the order of nanometers and to ensure the bonding surface is clean [284].
Direct Cu-bonding has been used in CMOS devices and appears to be the most suitable process [285,288,289]. Gao et al. recently performed direct Cu-bonding at 200 °C for 1 h using DBI, and the misalignment between the upper and lower Cu-pads was within 5 μm [85]. Additionally, there was no visible gap between the bonding Cu-pads, which indicates a good electrical connection.
Meanwhile, high-temperature conditions can cause damage to the semiconductor chip or deterioration in the quality of the device, so low-temperature bonding is the main point of advanced packaging technology. Many studies are being conducted to achieve hybrid bonding at low and RT [269,284,290,291,292,293,294,295,296,297]. Recently, Liu et al. adopted Pt as a passivation material to achieve low-temperature Cu direct bonding [294]. The Pt passivation layer (10 nm) is used on the Cu surface to prevent Cu oxidation. During the TCB process, Cu atoms diffused across the Pt-bonding interface and facilitated low-temperature bonding. The wafer-level bonding was also successfully conducted at 180 °C for 50 min in a vacuum state, and the pull bonding strength was around 19.7 kgf.
Park et al. deposited a thin film of Ti of about 12 nm on the copper surface to investigate the effect of this on Cu-Cu bonding [298]. In Figure 12a, an amorphous Ti oxide of 3 nm is formed on the surface. Ti and Cu diffused in a low-temperature range between RT and 200 °C, and the diffusion rate of Ti was higher than that of Cu (Figure 12b). Cu-Cu was bonded using a Ti nano-film at 200 °C for 1 h, and the average joint shear strength was 13.2 MPa, which proved the potential of the Ti nano-film.
Cu/SiO2 hybrid bonding was successfully performed at 120–150 °C using a metal passivation layer by Liu et al. [297]. For the passivation layer, a 10 nm thickness of Pd or Au was coated on Cu. Without a passivation layer, the Cu direct bonding required a high temperature (>400 °C). The bonded shear strength with passivation layers showed around 60 N and was several times stronger than without a passivation layer.
In order to achieve Cu/dielectric hybrid bonding at RT or 200 °C, He et al. proposed a modified SAB method that combines SAB technology and a prebonding attach-detach process in a vacuum [296]. The SAB method involves irradiating a bonding surface with a Si-containing Ar beam before bonding and bonding it in an ultra-high vacuum (UHV) of 5 × 10−6 Pa. The strength of the Cu-Cu joint bonded at RT in UHV was 2.5 J/m2, and the strength of the SiO2-SiO2 joint was 0.5 J/m2. Post-bonding treatment at 200 °C for 2 h resulted in no significant change in the bonding strength. Ong et al. demonstrated Cu/SiO2 hybrid bonding at 200 °C and 1.06 MPa bonding pressure using (111)-orientation nanotwinned-Cu [280]. The electrical resistance stabilized from 25 to 375 °C and had a smaller contact resistance of 1.2 × 10−9 Ω·cm2. Accordingly, the nanotwinned-Cu results are promising for low-temperature Cu/SiO2 hybrid bonding.

8. Reliability of TSV Solder Joints and Future Directions

The joint reliability of Cu-filled TSVs and solder is influenced by the type of solder alloy, bonding temperature, and formation of IMCs at the junction. As discussed, ball mounting, solder injection, and paste printing are just a few of the solder bumping methods that are available for TSVs. Depiver and his co-workers discovered that SAC 405 and SAC 387 had the highest and lowest fatigue lives, respectively [299]. This suggests that even little modifications to the SnAgCu eutectic system composition can have a big impact on dependability. Solder bumps are reflowed to connect stacked TSVs and solder bumps. At the Cu/solder interface, Cu and Sn react in the solid state to form Cu6Sn5 and Cu3Sn IMC at the interface. Reactions (4) and (5) after reflow lead to the production of Cu6Sn5 [300]:
6Cu + 5Sn → Cu6Sn5
2Cu3Sn + 3Sn → Cu6Sn5
Cu6Sn5 IMC grows faster when Sn and Cu are diffused in solid form under thermal conditions. Ni atoms replace Cu atoms to generate (Cu, Ni)6Sn5 IMCs when Ni UBM is used. Finally, Reaction (6) [300] describes the transition of Cu6Sn5 to Cu3Sn at the Cu/Sn interface:
Cu6Sn5 + 9Cu → Cu3Sn
Compared to Cu6Sn5 IMC, Cu3Sn IMC has lower fracture toughness because it is more likely to generate Kirkendall voids. The reliability of the solder junction can be severely impacted by a greater amount of IMC at the bump/Cu contact. Ni, which has a higher activation energy than Cu, interacts with Sn to produce additional Ni3Sn4 IMCs on Cu pads. As the IMC thickness and reflow conditions are inversely correlated, optimizing the IMC thickness necessitates a low reflow temperature. Moreover, it raises the fraction of IMCs to solder volume when bump size and pitch size are both decreased. Although Sn, Sn-Ag, or SAC305 are soft solders, the interfacial IMCs formed are brittle and decrease the endurance of TSV/solder joints [276].
In a study by Su et al. [301], the dependability of the Cu pillar UBM/solder cap was investigated to ascertain the impacts of solder composition and IMC formation rate. During high-temperature aging, the study discovered that alloy systems, including Sn1Ag, Sn2Ag, and Sn3Ag, behaved differently. During reflow, a Sn1Ag bump showed a significant growth of UBM IMCs (including AuSn4 and PdSn4), whereas Sn2Ag and Sn3Ag bumps showed a higher fraction of Cu6Sn5 IMC and fewer UBM IMCs. After high-temperature aging, the IMC thickness grew, and a sizable number of voids were discovered inside Sn1Ag bumps. Cu3Sn IMCs were thicker, and there were several Kirkendall voids at the Sn2.0Ag bump interface. In contrast, Sn3.0Ag demonstrated a defectless interface with exceptional reliability.

8.1. Nanomodified Solder Bumps

NPs are also added to the solder, which considerably slows down the development of Cu6Sn5 IMC at the interface. Equation (7) [302] illustrates how the surface energy of the material is reduced and the formation of crystal plane k is slowed down when NPs are adsorbed on the IMCs.
k γ c k A k = k γ 0 k A k R T k A k 0 c Γ k d c c   m a x i m u m
Equation illustrates the relationship between the amount of NPs added to the solder and the velocity of crystal plane k (7). The surface energy of the crystal plane k of IMC with and without NPs are γ c k and γ 0 k , respectively. Here, c is the concentration of NPs, Ak is the area of the plane k, and Γ k is the number of NPs adsorbed at plane k. The first term on the right-hand side is constant for a fixed volume. Thus, the plane Γ k will be highly effective in the adsorption of NPs on plane k.
Shin et al. prepared the electroplated composite solder bump Sn58Bi reinforced with SiC NPs [25]. A 10 A/dm2 current density was used to co-deposit the NPs in the solder matrix. The presence of SiC NPs reduced the distance between the Sn and Bi-layers and the thickness of the Cu6Sn5 IMC at the solder bump interface. The outcome was an increase in the solder bump’s shear strength of 6% and 10% under the as-reflow and aged conditions, respectively. Solder paste in research frequently contains NPs of ZrO2, Al2O3, ZnO, and CNTs into SAC and Sn-Bi solders to improve mechanical properties and minimize Cu6Sn5 IMC at the interface [303]. Moreover, it has been demonstrated that adding nanoparticles improves the spreading and wetting properties. For instance, Al2O3 NPs added to the solder showed higher wettability than monolithic solders [304]. The segregation of NPs within the matrix is a major problem when utilizing solder paste with NPs in fine-pitch applications. The degree of NP addition must be tuned to obtain appropriate wetting and reduced IMC growth. A precise control of the current density and time required for adequate NP dispersion in the solder bump is required in electroplated composite solder bumps.
Chang et al. [305] employed Cu/Sn-solder, Cu/Ni/Sn-solder, and Cu/Ni/Cu/Sn-solder with a pitch <30 µm to investigate the stress distribution and IMCs at the TSV interface. Ni3Sn4 was demonstrated to form at the solder-Ni-bump interface. Simulation findings showed that a Cu/Ni/Cu/solder structure and Cu/Ni/solder with a thinner Cu thickness of 15 µm effectively reduced thermal stresses at the interface during the thermal cycling test. The Cu/Ni/solder bump showed voids and fractures after five reflows, but the Cu/Ni/Cu/Sn bump was defect-free. The Cu/solder structure displayed a gradual metallic reaction at 175 °C for 300 h, yielding Cu6Sn5 with a negligible decrease in solder volume and no solder fault. However, at 200 °C and 300 h, the Cu/Ni/Sn solder bump failed, leaving the solder with void and crack flaws. These findings may be connected to the IMC generated at the solder bump contact. According to Chung et al. [306], the Ni3Sn4 IMC formation rate is quick and results in an 11% reduction of Sn volume, whereas the Cu6Sn5 IMC reaction layer forms slowly and results in a 5% reduction of Sn volume. Because the dissipation of volume shrinkage primarily takes place in the vertical direction, the development of IMCs may degrade the electrical properties of the TSV/solder joints.
According to Bashir et al. [307], after 400 h of electromigration, Cu6Sn5 IMC enhanced the electrical resistance of the SAC305/Cu joint. In contrast, (Cu, Ni)6Sn5 IMC in SAC305/Ni/Cu showed a steady resistance after 600 h. In a different research, SAC 305 electrical resistivity was enhanced from 1.04 × 10−6 Ωm to 1.34 × 10−6 Ωm by adding 0.03 wt.% CNTs [308]. Controlling processing conditions to reduce IMC formation is essential, given the influence of IMC on the electro-mechanical characteristics of the solder joints. In surface mount technology and flip chip packages, paste mixing is frequently employed to include NPs into the solder bump. Nonetheless, solder bumps for 3D integration technology containing NPs have improved the joint performance as described in [65,284,288] thanks to electroplating.

8.2. Emerging Solder Bumps-HEAs

The creation of novel materials is ongoing to suit the requirements of several sectors, including electronics, petrochemicals, airplanes, cars, and nuclear. Innovative filler metals must be developed to connect these complicated materials since conventional fillers frequently cause the creation of brittle IMCs, element segregation, and thermal stresses at the interface, which can harm joint performance. Because of the low wettability and significant discrepancies in the CTEs of the two materials, connecting metal and ceramic is particularly difficult. HEAs have lately been investigated by researchers as brazing fillers for connecting metal to ceramic to overcome this issue [309,310]. Due to the high entropy effect, HEAs provide better filler element mixing (Figure 13). This improves the metal-to-ceramic connections by causing the production of face-centered cubic (FCC) or body-centered cubic (BCC) structures, preventing the formation of brittle IMCs [311,312].
This section looks at HEAs’ potential as a filler metal for brazing both similar and unrelated materials. As a brazing filler, HEAs have a desired set of characteristics, including excellent wear resistance, strong corrosion and oxidation resistance, and high-temperature stability. The effect of HEAs fillers on the microstructure and mechanical performance of brazing joints is also examined in this paper. Comparative research between HEA fillers and traditional fillers is also included.
Many studies have been conducted on connecting techniques and the use of appropriate fillers for Ni-and Ti-base alloys [313,314]. It is important to remember that Ni-base alloys may not be optimum for joining during fabrication or repair, even if they are created for maximum performance. For instance, solidification cracks in the fusion zone, embrittlement cracks, and heat-affected zone cracks are frequently caused by the fusion bonding of Ni-base alloys due to the segregation of alloying elements and localized stresses. Nevertheless, the use of solid-state diffusion bonding is constrained by the need for high pressure and exact alignment. Brazing, on the other hand, does not need such alignment and operates at a temperature lower than the melting point of the base material, preventing solidification flaws.
The development of multicomponent solders requires extensive research since binary Sn-based solders can no longer keep up with the rapid pace of technological advancement. In the form of HEAs, multicomponent alloys have exceptional qualities, particularly in terms of creep [315], magnetic behavior [316], biocompatibility [317], wear [318], and slow diffusion effect [311]. The use of HEA solder may impart these special characteristics to TSV/solder interconnections, opening a new design space and expanding the uses of solder bumps. According to a recent study, SnBiInZn HEA alloy was used as solder for low-temperature soldering [319]. The solder has a wetting temperature of about 100 °C and a melting point ≈ 80 °C. At the 100 °C reflow temperature, it possesses good wetting qualities and shear strength. Also, the IMC growth kinetics investigation shows that during reflow, a very thin layer of Cu6Sn5 IMC is formed via a very slow solid-liquid interfacial reaction rate. In this work, HEAs were used as a low melting point solder, an innovative attempt with possible uses in future advanced electronic packaging technology.

9. Conclusions and Future Remarks

In this review, we have overviewed the research trajectories for 3D connection—such as fabrication of TSV in Si-wafers and solder bumping—and issues in TSV joining, Cu pillar, low-temperature TLP bonding, and hybrid bonding applicable to 3D TSV stacking have been covered. Three-dimensional TSV electronic packaging technology is emerging as an alternative that can overcome the limitations of semiconductor processing beyond the simple circuit protection and connection functions of semiconductors. In particular, the process for making TSV involves drilling vertical vias on a Si wafer using the DRIE technique, filling the vias with Cu electroplating, and solder bumping on TSV for 3D stacking and integration. The difficulties in TSV fabrication and perfect Cu-filling are discussed in the study, along with concerns with high-density packaging, such as cracking and delamination at the interfaces, insulating layer failure caused by Cu extrusion, IMC formation at joints, and CTE mismatch. In particular, hybrid bonding is evaluated to be a next-generation core back-end process technology to minimize packaging sizes and increase bandwidth. The development of reliable solder bump technology and materials still needs to be studied and improved to increase the electro-mechanical reliability of the TSV filling and bonding technologies described in this review. While the electroplating method for solder bumping is well-established in the industry, more recent innovations like paste printing and HEA solders can help 3D packaging become more affordable. Recent technological advancements in solder bumping are projected to increase package reliability.
The performance of the 3D stacked chips and devices can be tailored only through novel design concepts, package platforms, and new bumping materials with minimum reaction compounds, low power requirements, and superior performance. In the future, it is expected that 3D TSV technologies may replace existing surface mount devices due to their smaller dimension, greater speed, and multi-functional applications.

Author Contributions

Conceptualization: Y.J.J.; Formal analysis: A.S.; Investigation and Methodology: Y.J.J.; Writing—original draft: Y.J.J.; Writing—review & editing: A.S. and J.P.J. All authors have read and agreed to the published version of the manuscript.

Funding

This paper was supported by Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea Government (MOTIE) (P0018010, 2023).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Bender, H.; Drijbooms, C.; Van Marcke, P.; Geypen, J.; Philipsen, H.G.G.; Radisic, A. Structural characterization of through silicon vias. J. Mater. Sci. 2012, 47, 6497–6504. [Google Scholar] [CrossRef]
  2. Jung, D.H.; Sharma, A.; Jung, J.P. A review of soft errors and the low α-solder bumping process in 3-D packaging technology. J. Mater. Sci. 2018, 53, 47–65. [Google Scholar] [CrossRef]
  3. Okoro, C.; Levine, L.E.; Xu, R.; Obeng, Y. Experimental measurement of the effect of copper through-silicon via diameter on stress buildup using synchrotron-based X-ray source. J. Mater. Sci. 2015, 50, 6236–6244. [Google Scholar] [CrossRef]
  4. Wu, L.; Zhang, P.; Feng, C.; Gao, J.; Yu, B.; Qian, L. Scanning probe-based nanolithography: Nondestructive structures fabricated on silicon surface via distinctive anisotropic etching in HF/HNO3 mixtures. J. Mater. Sci. 2021, 56, 3887–3899. [Google Scholar] [CrossRef]
  5. Razdan, S.; De Dobbelaere, P.; Xue, J.; Prasad, A.; Patel, V. Advanced 2.5 D and 3D packaging technologies for next generation Silicon Photonics in high performance networking applications. In Proceedings of the IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; pp. 428–435. [Google Scholar]
  6. Cho, D.H.; Kang, H.J.; Seo, S.M.; Kim, J.B.; Rajendran, S.H.; Jung, J.P. Three-Dimensional Semiconductor Stacking Using TSV (Through-Si-Via) Technology. J. Weld. Join. 2021, 39, 295–303. [Google Scholar] [CrossRef]
  7. Hideyuki, N. Packaging Technologies for HPC/AI Applications in New Intelligence Era. J. Jpn. Inst. Electron. Packag. 2020, 23, 562–572. [Google Scholar]
  8. Kikuchi, K. 3D-IC Technology for Contribution to the IoT Society. J. Jpn. Inst. Electron. Packag. 2019, 22, 501–506. [Google Scholar] [CrossRef]
  9. Auciello, O.; Aslam, D.M. Review on advances in microcrystalline, nanocrystalline and ultrananocrystalline diamond films-based micro/nano-electromechanical systems technologies. J. Mater. Sci. 2021, 56, 7171–7230. [Google Scholar] [CrossRef]
  10. Shi, H.; Poonjolai, E. Fundamentals and Failures in Die Preparation for 3D Packaging. In 3D Microelectronic Packaging, 1st ed.; Li, Y., Deepak, G., Eds.; Springer International Publishing: New York, NY, USA, 2017; Volume 57, pp. 101–128. [Google Scholar]
  11. Shen, Y.; Meng, X.; Chen, Q.; Rumley, S.; Abrams, N.; Gazman, A.; Manzhosov, E.; Glick, M.S.; Bergman, K. Silicon Photonics for Extreme Scale Systems. J. Light. Technol. 2019, 37, 245–259. [Google Scholar] [CrossRef]
  12. Khorramdel, B.; Liljeholm, J.; Laurila, M.M.; Lammi, T. Inkjet printing technology for increasing the I/O density of 3D TSV interposers. Microsyst. Nanoeng. 2017, 3, 17002. [Google Scholar] [CrossRef]
  13. Annuar, S.; Mahmoodian, R.; Hamid, M.; Tu, K.N. Intermetallic compounds in 3D integrated circuits technology: A brief review. Sci. Technol. Adv. Mater. 2017, 18, 693–703. [Google Scholar] [CrossRef] [PubMed]
  14. Li, L.; Ton, P.; Nagar, M.; Chia, P. Reliability challenges in 2.5D and 3D IC integration. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1504–1509. [Google Scholar]
  15. Watanabe, Y.; Kato, M.; Yahagi, T.; Murayama, H.; Yoshida, K.; Sashida, K.; Ikeda, K.; Ikeda, K.; Takemori, T. MEMS Rogowski Coil Current Sensor with TSV Structural Wiring. J. Jpn. Inst. Electron. Packag. 2021, 24, 101–106. [Google Scholar] [CrossRef]
  16. Hong, S.C.; Jung, D.H.; Lee, W.G.; Kim, W.J.; Jung, J.P. Non-PR Sn-3.5Ag Bumping on a Fast Filled Cu-Plug by PPR Current. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 574–580. [Google Scholar] [CrossRef]
  17. Shi, J.; Jiang, B.; Li, C.; Liu, Z.; Yan, F. Sputtered titanium nitride films as pseudocapacitive electrode for on-chip micro-supercapacitors. J. Mater. Sci. 2023, 58, 337–354. [Google Scholar] [CrossRef]
  18. Wang, B.; Li, W.; Zhang, S.; Li, X.; Pan, K. Effect of electric current stressing on mechanical performance of solders and solder joints: A review. J. Mater. Sci. 2022, 57, 17533–17562. [Google Scholar] [CrossRef]
  19. Murugesan, M.; Koyanagi, M.; Fukushima, T. Impact of electroless-Ni seed layer on cu-bottom-up electroplating in high aspect ratio (>10) TSVs for 3D-IC packaging applications. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 1736–1741. [Google Scholar]
  20. Sung, M.; Lee, A.; Kim, T.; Yoon, Y.; Lim, T.; Kim, J.J. Sulfur-Containing Additives for Mitigating Cu Protrusion in Through Silicon Via (TSV). J. Electrochem. Soc. 2019, 166, D514–D520. [Google Scholar] [CrossRef]
  21. Sharma, A.; Jung, D.H.; Roh, M.H.; Jung, J.P. Fabrication and Shear Strength Analysis of Sn-3.5Ag/Cu-Filled TSV for 3D Microelectronic Packaging. Electron. Mater. Lett. 2016, 12, 856–863. [Google Scholar] [CrossRef]
  22. Jung, D.H.; Agarwal, S.; Kumar, S.; Jung, J.P. High Shear Speed Characteristics of Sub-100 mm Low Alpha SAC105 Solder Bump Directly Fabricated on Cu Filled Through Si Via for 3D Integration. J. Microelectron. Electron. Packag. 2015, 12, 161–169. [Google Scholar] [CrossRef]
  23. Lu, S.-W.; Wu, Z.-H.; Huang, Y.-J.; Uang, R.-H.; Lo, W.-C.; Hu, H.-T.; Chen, Y.-F.; Kung, L.-C.; Huang, H.-C. Process control of high density solder bumps by electroplating technology. In Proceedings of the 24th IEEE/CPMT International Electronics Manufacturing Technology Symposium (Cat. No. 99CH36330), Austin, TX, USA, 19 October 1999; pp. 325–327. [Google Scholar]
  24. Kim, J.; Zhu, L.; Torun, H.M.; Swaminathan, M.; Lim, S.K. Micro-Bumping, Hybrid Bonding, or Monolithic? A PPA Study for Heterogeneous 3D IC Options. In Proceedings of the 2021 58th ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 5–9 December 2021; pp. 1189–1194. [Google Scholar] [CrossRef]
  25. Shin, Y.-S.; Ko, Y.-K.; Kim, J.K.; Yoo, S.; Lee, C.-W. SiC-nanoparticle dispersed composite solder bumps fabricated by electroplating. Surf. Rev. Lett. 2010, 17, 201–205. [Google Scholar] [CrossRef]
  26. Luo, D.; Xiao, Y.; Hardwick, L.; Snell, R.; Way, M.; Xavier, S.M.; Livera, F.; Ludford, N.; Panwisawas, C.; Dong, H.; et al. High Entropy Alloys as Filler Metals for Joining. Entropy 2021, 23, 78. [Google Scholar] [CrossRef]
  27. Sakui, K.; Ohba, T. Three-dimensional integration (3DI) with bumpless interconnects for tera-scale generation: High speed, low power, and ultra-small operating platform. In Proceedings of the 2019 IEEE Custom Integration Circuits Conference (CICC), Austin, TX, USA, 14–17 April 2010. [Google Scholar]
  28. Graef, M. More Than Moore White Paper. In Proceedings of the 2021 IEEE International Roadmap for Devices and Systems Outbriefs, Santa Clara, CA, USA, 30 November 2021; pp. 1–47. [Google Scholar]
  29. Tan, C.S.; Gutmann, R.J.; Reif, L.R. Wafer Level 3-D ICs Process Technology; Springer: New York, NY, USA, 2008; pp. 1–11. [Google Scholar]
  30. Christiansen, R.S.S.H.; Gosele, U. Wafer direct bonding: From advanced substrate engineering to future applications in micro/nanoelectronics. Proc. IEEE 2006, 94, 2060–2106. [Google Scholar] [CrossRef]
  31. Ramaswami, S.; Dukovic, J.; Eaton, B.; Pamarthy, S.; Bhatnagar, A.; Cao, Z.; Sapre, K.; Wang, Y.; Kumar, A. Process Integration Considerations for 300 mm TSV Manufacturing, Device and Materials Reliability. In IEEE Transactions on Device and Materials Reliability; IEEE: New York, NY, USA, 2009; Volume 9, pp. 524–528. [Google Scholar]
  32. Bandyopadhyay, T.; Chatterjee, R.; Chung, D.; Swaminathan, M.; Tummala, R. Electrical Modeling of Through Silicon and Package Vias. In Proceedings of the 2009 IEEE International Conference on 3D System Integration, San Francisco, CA, USA, 28–30 September 2009; pp. 1–8. [Google Scholar]
  33. Mercha, A.; Van der Plas, G.; Moroz, V.; De Wolf, I.; Asimakopoulos, P.; Minas, N.; Domae, S.; Perry, D.; Choi, M.; Redolfi, A.; et al. Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k/metal gate CMOS performance. In Proceedings of the 2010 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 6–8 December 2010; pp. 2.2.1–2.2.4. [Google Scholar]
  34. Katti, G.; Stucchi, M.; De Meyer, K.; Dehaene, W. Electrical Modeling and Characterization of Through Silicon Via for Three-Dimensional ICs, Electron Devices. In IEEE Transactions on Electron Devices; IEEE: New York, NY, USA, 2010; Volume 57, pp. 256–262. [Google Scholar]
  35. Hoofman, R.J.O.M.; Michelon, J.; Bancken, P.H.L.; Daamen, R.; Verheijden, G.J.A.M.; Arnal, V.; Hinsinger, O.; Gosset, L.G.; Humbert, A.; Besling, W.F.A.; et al. Reliability challenges accompanied with interconnect downscaling and ultra low-k dielectrics. In Proceedings of the IEEE 2005 International Interconnect Technology Conference, Burlingame, CA, USA, 6–8 June 2005; pp. 85–87. [Google Scholar]
  36. Latt, K.M.; Lee, Y.K.; Seng, H.L.; Osipowicz, T. Diffusion barrier properties of ionized metal plasma deposited tantalum nitride thin films between copper and silicon dioxide. J. Mater. Sci. 2001, 36, 5845–5851. [Google Scholar] [CrossRef]
  37. Thompson, S.E.; Sun, G.; Choi, Y.S.; Nishida, T. Uniaxial-process-induced strained-Si: Extending the CMOS roadmap. In IEEE Transactions on Electron Devices; IEEE: New York, NY, USA, 2006; Volume 53, pp. 1010–1020. [Google Scholar]
  38. Shalf, J. The future of computing beyond Moore’s Law. Philos. Trans. R. Soc. A 2020, 378, 20190061. [Google Scholar] [CrossRef] [PubMed]
  39. Lau, J.H. Semiconductor Advanced Packaging; Springer: New York, NY, USA, 2021. [Google Scholar]
  40. Lau, J.H. Recent advances and trends in advanced packaging. In IEEE Transactions on Components, Packaging and Manufacturing Technology; IEEE: New York, NY, USA, 2022; Volume 12, pp. 228–252. [Google Scholar]
  41. Harris, K.D.; Elias, A.L.; Chung, H.-J. Flexible electronics under strain: A review of mechanical characterization and durability enhancement strategies. J. Mater. Sci. 2016, 51, 2771–2805. [Google Scholar] [CrossRef]
  42. Mittal, J.; Lin, K.L. Carbon nanotube-based interconnections. J. Mater. Sci. 2017, 52, 643–662. [Google Scholar] [CrossRef]
  43. Wang, S.; Kirchlechner, C.; Keer, L.; Dehm, G.; Yao, Y. Interfacial fracture toughness of sintered hybrid silver interconnects. J. Mater. Sci. 2020, 55, 2891–2904. [Google Scholar] [CrossRef]
  44. Kumar, S.; Shoo, F.; Elisabeth, S. Fan-Out Wafer and Panel Level Packaging Market and Technology Trends. In Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces; Wiley: New York, NY, USA, 2022; pp. 1–46. [Google Scholar]
  45. Knickerbocker, J.U.; Andry, P.S.; Dang, B.; Horton, R.R.; Interrante, M.J.; Patel, C.S.; Polastre, R.J.; Sakuma, K.; Sirdeshmukh, R.; Sprogis, E.J.; et al. Three-dimensional silicon integration. IBM J. Res. Dev. 2008, 52, 553–569. [Google Scholar] [CrossRef]
  46. Koyanagi, M.; Nakamura, T.; Yamada, Y.; Kikuchi, H.; Fukushima, T.; Tanaka, T.; Kurino, H. Three-dimensional integration technology based on wafer bonding with vertical buried interconnections. IEEE Trans. Electron Dev. 2006, 53, 2799–2808. [Google Scholar] [CrossRef]
  47. Wajahat, M.; Kouzani, A.Z.; Khoo, S.Y.; Mahmud, M.A.P. A review on extrusion-based 3D-printed nanogenerators for energy harvesting. J. Mater. Sci. 2022, 57, 140–169. [Google Scholar] [CrossRef]
  48. Holsgrove, K.M.; O’Reilly, T.I.; Varo, S.; Gocalinska, A.; Juska, G.; Kepaptsoglou, D.M.; Pelucchi, E.; Arredondo, M. Towards 3D characterisation of site-controlled InGaAs pyramidal QDs at the nanoscale. J. Mater. Sci. 2022, 57, 16383–16396. [Google Scholar] [CrossRef]
  49. You, X.; Yang, J.; Dong, S. Structural and functional applications of 3D-printed graphene-based architectures. J. Mater. Sci. 2021, 56, 9007–9046. [Google Scholar] [CrossRef]
  50. Lai, M.-F.; Li, S.-W.; Shih, J.-Y.; Chen, K.-N. Wafer-level three-dimensional integrated circuits (3D IC): Schemes and key technologies. Microelectron. Eng. 2011, 88, 3282–3286. [Google Scholar] [CrossRef]
  51. Lau, J.H. Overview and outlook of through-silicon via (TSV) and 3D integrations. Microelectron. Int. 2011, 28, 8–22. [Google Scholar] [CrossRef]
  52. Ren, Z.Y.; Zhu, L.Q.; Ai, L.; Lou, X.Q.; Cai, J.C.; Li, Z.Y.; Xiao, H. Aqueous solution processed mesoporous silica-gated photo-perception neuromorphic transistor. J. Mater. Sci. 2021, 56, 4316–4327. [Google Scholar] [CrossRef]
  53. Pilania, G.; Goldsmith, B.R.; Yoon, M.; Dongare, A.M. Recent advances in computational materials design: Methods, applications, algorithms, and informatics. J. Mater. Sci. 2022, 57, 10471–10474. [Google Scholar] [CrossRef] [PubMed]
  54. Batude, P.; Brunet, L.; Fenouillet-Beranger, C.; Andrieu, F.; Colinge, J.-P.; Lattard, D.; Vianello, E.; Thuries, S.; Billoint, O.; Vivet, P.; et al. 3D sequential integration: Application-driven technological achievements and guidelines. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 3.1.1–3.1.4. [Google Scholar]
  55. Amir, M.F.; Ko, J.H.; Na, T.; Kim, D.; Mukhopadhyay, S. 3-D stacked image sensor with deep neural network computation. IEEE Sens. J. 2018, 18, 4187–4199. [Google Scholar] [CrossRef]
  56. De Salvo, B. Brain-inspired technologies: Towards chips that think? In Proceedings of the 2018 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 12–17. [Google Scholar]
  57. Shulaker, M.M.; Hills, G.; Park, R.S.; Howe, R.T.; Saraswat, K.; Wong, H.S.P.; Mitra, S. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 2017, 547, 74–78. [Google Scholar] [CrossRef]
  58. Ehsan, M.A.; Yi, Y.; Zhou, Z. Three dimensional integration technology applied to neuromorphic hardware implementation. In Proceedings of the 2015 IEEE International Symposium on Nanoelectronic and Information Systems, Indore, India, 21–23 December 2015; pp. 203–206. [Google Scholar]
  59. Cho, D.H.; Seo, S.M.; Kim, J.B.; Rajendran, S.H.; Jung, J.P. A Review on the Fabrication and Reliability of Three-Dimensional Integration Technologies for Microelectronic Packaging: Through-Si-Via and Solder Bumping Process. Metals 2021, 11, 1664. [Google Scholar] [CrossRef]
  60. Garrou, P.; Bower, C.; Ramm, P. Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits; Wiley-VCH Verlag: Weinheim, Germany, 2008. [Google Scholar]
  61. S Electronics. Available online: http://ixbtlabs.com/archive.html?2006/0414 (accessed on 3 September 2023).
  62. Dukovic, J.; Ramaswami, S.; Pamarthy, S.; Yalamanchili, R.; Rajagopalan, N.; Sapre, K.; Cao, Z.; Ritzdorf, T.; Wang, Y.; Eaton, B.; et al. Through-Silicon-Via Technology for 3D Integration. In Proceedings of the 2010 IEEE International Memory Workshop, Seoul, Republic of Korea, 16–19 May 2010; pp. 1–2. [Google Scholar]
  63. Ren, Y.; Geng, F.; Sung, P.; Sun, Y.; Sima, G. Etching process development for 3D wafer level via last TSV package. In Proceedings of the 2017 18th International Conference on Electronic Packaging Technology (ICEPT), Harbin, China, 16–19 August 2017; pp. 296–300. [Google Scholar]
  64. Kee, S.H.; Kim, W.; Jung, J.; Choi, M. Effect of Via Pitch on the Extrusion Behavior of Cu-filled TSV. Korean J. Met. Mater. 2018, 56, 449–458. [Google Scholar] [CrossRef]
  65. Roh, M.H.; Sharma, A.; Lee, J.H.; Jung, J.P. Extrusion Suppression of TSV Filling Metal by Cu-W Electroplating for Three-Dimensional Microelectronic Packaging. Metall. Mater. Trans. A 2015, 46, 2051–2062. [Google Scholar] [CrossRef]
  66. Frank, T.; Moreau, S.; Chappaz, C.; Leduc, P.; Arnaud, L.; Thuaire, A.; Chery, E.; Lorut, F.; Anghel, L.; Poupon, G. Reliability of TSV interconnects: Electromigration, thermal cycling, and impact on above metal level dielectric. Microelectron. Reliab. 2013, 53, 17–29. [Google Scholar] [CrossRef]
  67. Baek, K.H.; Kim, D.P.; Park, K.S.; Kang, J.Y.; Lee, K.J.; Do, L.M. DRIE Technology for TSV Fabrication. J. Korean Soc. Precis. Eng. 2009, 26, 32–40. [Google Scholar]
  68. Burkett, S.L.; Jordan, M.B.; Schmitt, R.P.; Menk, L.A.; Hollowell, A.E. Tutorial on forming through-silicon vias. J. Vac. Sci. Technol. A 2020, 38, 031202. [Google Scholar] [CrossRef]
  69. Liu, K.; Qu, S.; Zhang, X.; Wang, Z. Anisotropic characteristics and morphological control of silicon nanowires fabricated by metal-assisted chemical etching. J. Mater. Sci. 2013, 48, 1755–1762. [Google Scholar] [CrossRef]
  70. Díaz, O.P.; González, E.Q.; González, N.R.S. Silicon microstructures through the production of silicon nanowires by metal-assisted chemical etching, used as sacrificial material. J. Mater. Sci. 2019, 54, 2351–2357. [Google Scholar] [CrossRef]
  71. Zhou, B.; Li, X.; Shi, T.; Liu, G.; Cao, H.; Wang, Y. Synthesis and morphology control of diluted Si nanowire arrays by metal-assisted chemical etching and thermal oxidation based on nanosphere lithography. J. Mater. Sci. 2017, 52, 6449–6458. [Google Scholar] [CrossRef]
  72. Huang, Y.; Xiu, Z.; Wu, G.; Tian, Y.; He, P.; Gu, X.; Long, W. Improving shear strength of Sn-3.0 Ag-0.5 Cu/Cu joints and suppressing intermetallic compounds layer growth by adding graphene nanosheets. Mater. Lett. 2016, 169, 262–264. [Google Scholar] [CrossRef]
  73. Kolasinski, K.W. Metal-Assisted Catalytic Etching (MACE) for Nanofabrication of Semiconductor Powders. Micromachines 2021, 12, 776. [Google Scholar] [CrossRef]
  74. Jung, J.P.; Lee, H.Y.; Jeon, J.H. Solder Bumping for Flip Chip Bonding. J. Weld. Join. 2008, 26, 24–30. [Google Scholar] [CrossRef]
  75. Srivastava, R.P.; Khang, D.Y. Structuring of Si into Multiple Scales by Metal-Assisted Chemical Etching. Adv. Mater. 2021, 33, 2005932. [Google Scholar] [CrossRef]
  76. Nur’aini, A.; Oh, I. Deep Etching of Silicon Based on Metal-Assisted Chemical Etching. ACS Omega 2022, 7, 16665–16669. [Google Scholar] [CrossRef]
  77. Murata, K.; Yorioka, T.; Shiraiwa, N.; Ito, T.; Shingubara, S.; Shimizu, T. Effect of etching solution concentration on preparation of Si holes by metal-assisted chemical etching. Jpn. J. Appl. Phys. 2022, 61, SJ1007. [Google Scholar] [CrossRef]
  78. Hanatani, S.; Yorioka, T.; Shimizu, T.; Ito, T.; Shingubara, S. Effect of Polarity of Surfactant on Formation of Through-Silicon Via Using Metal-Assisted Chemical Etching. Meet. Abstr. 2020, 68, 3579. [Google Scholar] [CrossRef]
  79. Nguyen, V.T.H.; Shkondin, E.; Jensen, F.; Hübner, J.; Leussink, P.; Jansen, H. Ultrahigh aspect ratio etching of silicon in SF6-O2 plasma: The clear-oxidize-remove-etch (CORE) sequence and chromium mask. J. Vac. Sci. Technol. A Vac. Surf. Films 2020, 38, 053002. [Google Scholar] [CrossRef]
  80. Lee, S.J.; Jang, Y.J.; Lee, J.H.; Jung, J.P. Cu-Filling Behavior in TSV with Positions in Wafer Level. J. Microelectron. Packag. Soc. 2014, 21, 91–96. [Google Scholar] [CrossRef]
  81. Surapaneni, R.; Hamlin, B.S.; Chiu, J.; Brun, X.F.; Barnett, R.; Muggeridge, M.; Bhasker, H.; Richards, N. 300 mm Full Thickness Si-Based IC Singulation Using Plasma Dicing for Advanced Packaging Technologies. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; pp. 1019–1024. [Google Scholar]
  82. Barnett, R. Plasma Dicing 300mm Framed Wafers—Analysis of Improvement in Die Strength and Cost Benefits for Thin Die Singulation. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 343–349. [Google Scholar]
  83. Matsubara, N.; Windemuth, R.; Mitsuru, H.; Atsushi, H. Plasma dicing technology. In Proceedings of the IEEE 4th Electronic System-Integration Technology Conference, Amsterdam, The Netherlands, 17–20 September 2012; pp. 1–5. [Google Scholar]
  84. Westerman, R.; Grivna, G.; Mackenzie, K.; Lazerand, T.; Doub, J. Plasma Dicing: Current State & Future Trends. ECS Trans. 2015, 69, 3–14. [Google Scholar]
  85. Lee, H.C. Review of inductively coupled plasmas: Nano-applications and bistable hysteresis physics. Appl. Phys. Rev. 2018, 5, 011108. [Google Scholar] [CrossRef]
  86. Ferreira, A.; Borges, J.; Lopes, C.; Rodrigues, M.S.; Mendez, S.L.; Vaz, F. Relationship between nano-architectured Ti1−x Cux thin film and electrical resistivity for resistance temperature detectors. J. Mater. Sci. 2017, 52, 4878–4885. [Google Scholar] [CrossRef]
  87. Tadgell, C.A.; Corbin, S.F. Dissolution and isothermal solidification behaviour of commercially pure titanium brazed using a pure nickel filler under TLPB conditions. J. Mater. Sci. 2021, 56, 10597–10613. [Google Scholar] [CrossRef]
  88. Ameri, F.; Gutierrez, D.; Pamarthy, S.V.; Scanlan, D.; Schaeftlein, F. Innovative chamber design and excellent process performance and stability for ultra high aspect ratio deep trench etch. In Proceedings of the International Symposium on Dry Process, Nagoya, Japan, 29–30 November 2006; Volume 6, pp. 229–230. [Google Scholar]
  89. Killge, S.; Bartusseck, I.; Junige, M.; Neumann, V.; Reif, J.; Wenzel, C.; Böttcher, M.; Albert, M.; Wolf, M.J.; Bartha, J.W. 3D system integration on 300 mm wafer level: High-aspect-ratio TSVs with ruthenium seed layer by thermal ALD and subsequent copper electroplating. Microelectron. Eng. 2019, 205, 20–25. [Google Scholar] [CrossRef]
  90. Chen, X.; Chen, Z.; Xiao, L.; Hao, Y.; Wang, H.; Ding, Y.; Zhang, Z. Fabrication and Electrical Characterization of High Aspect Ratio Through-Silicon Vias with Polyimide Liner for 3D Integration. Micromachines 2022, 13, 1147. [Google Scholar] [CrossRef] [PubMed]
  91. Xiao, L.; Ding, Y.; Su, Y.; Zhang, Z.; Yan, Y.; Chen, Z.; Xie, H. Ultra-Deep Annular Cu Through-Silicon-Vias Fabricated Using Single-Sided Process. IEEE Electron Device Lett. 2022, 43, 426–429. [Google Scholar] [CrossRef]
  92. Sonawane, D.; Kumar, P. New insights into fracture of Si in Cu-filled through silicon via during and after thermal annealing. Eng. Fract. Mech. 2020, 238, 107281. [Google Scholar] [CrossRef]
  93. Dong, M.; Deng, Q.; Zhang, Y.; Hang, T.; Li, M. Study on the relationship between Cu protrusion behavior and stresses evolution in the through-silicon via characterized by in-situ μ-Raman spectroscopy. Microelectron. Reliab. 2020, 115, 113949. [Google Scholar] [CrossRef]
  94. Lin, Y.; Li, H.Y.; Tan, C.S. Structural integrity of 3-D metal–insulator–metal capacitor embedded in fully filled Cu through-silicon via. IEEE Trans. Compon. Packag. Manuf. 2021, 11, 918–921. [Google Scholar] [CrossRef]
  95. Zhang, Z.; Ding, Y.; Xiao, L.; Cai, Z.; Yang, B.; Chen, Z.; Xie, H. Enabling continuous Cu seed layer for deep through-silicon-vias with high aspect ratio by sequential sputtering and electroless plating. IEEE Electron Device Lett. 2021, 42, 1520–1523. [Google Scholar] [CrossRef]
  96. Kim, H.; Jeon, H.; Lee, D.J.; Kim, J.Y. Surface residual stress in amorphous SiO2 insulating layer on Si substrate near a Cu through-silicon via (TSV) investigated by nanoindentation. Mater. Sci. Semicond. 2021, 135, 106153. [Google Scholar] [CrossRef]
  97. Frasca, S.; Leghziel, R.C.; Arabadzhiev, I.N.; Pasquier, B.; Tomassi, G.F.; Carrara, S.; Charbon, E. The Michelangelo step: Removing scalloping and tapering effects in high aspect ratio through silicon vias. Sci. Rep. 2021, 11, 3997. [Google Scholar] [CrossRef]
  98. Jin, S.; Kim, S.M.; Jo, Y.; Lee, W.Y.; Lee, S.Y.; Lee, M.H. Unraveling Adsorption Behaviors of Levelers for Bottom-Up Copper Filling in Through-Silicon-Via. Electron. Mater. Lett. 2022, 18, 583–591. [Google Scholar] [CrossRef]
  99. Zhang, M.; Qin, F.; Chen, S.; Dai, Y.; Chen, P.; An, T. Protrusion of Through-Silicon-Via (TSV) Copper with Double Annealing Processes. J. Electron. Mater. 2022, 51, 2433–2449. [Google Scholar] [CrossRef]
  100. Chen, Q.; Huang, C.; Tan, Z.; Wang, Z. Low capacitance through-silicon-vias (TSVs) with uniform benzocyclobutene (BCB) insulation layers. IEEE Trans. Comp. Packag. Manuf. Technol. 2013, 3, 724–731. [Google Scholar] [CrossRef]
  101. Civale, Y.; Tezcan, D.S.; Philipsen, H.G.G.; Duval, F.C.; Jaenen, P.; Travaly, Y.; Soussan, P.; Swinnen, B.; Beyne, E. 3-D wafer-level packaging die stacking using spin-on-dielectric polymer liner through-silicon vias. IEEE Trans. Comp. Packag. Manuf. Technol. 2011, 1, 833–840. [Google Scholar] [CrossRef]
  102. Liu, F.; Xie, D.; Jiao, C.; Bai, D.; Wu, H.; Shen, L.; Tian, Z.; Zhao, J. Selective metallization on additive manufactured polymer for fabrication of integrated device. J. Mater. Sci. 2022, 57, 1506–1515. [Google Scholar] [CrossRef]
  103. Huang, C.; Chen, Q.; Wu, D.; Wang, Z. High aspect ratio and low capacitance through-silicon-vias (TSVs) with polymer insulation layers. Microelectron. Eng. 2013, 104, 12–17. [Google Scholar] [CrossRef]
  104. Wang, W.; Yan, Y.; Ding, Y.; Wang, S.; Wang, W.; Sun, Y.; Chen, Q. Electrical characteristics of a novel interposer technique using ultra-low-resistivity silicon-pillars with polymer insulation as TSVs. Microelectron. Eng. 2015, 137, 146–152. [Google Scholar] [CrossRef]
  105. Huang, C.; Chen, Q.; Wang, Z. Air-gap through-silicon vias (TSVs). IEEE Electron Device Lett. 2013, 34, 441–443. [Google Scholar] [CrossRef]
  106. Tawfik, W.Z.; Hyun, G.Y.; Lee, S.J.; Ryu, S.-W.; Ha, J.-S.; Lee, J.K. Enhanced performance of GaN-based LEDs via electroplating of a patterned copper layer on the backside. J. Mater. Sci. 2018, 53, 8878–8886. [Google Scholar] [CrossRef]
  107. Chui, K.J.; Loh, W.L.; Wang, X.; Chen, Z.; Yu, M. A novel method for air-gap formation around via-middle (VM) TSVs for effective reduction in keep-out zones (KOZ). In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1257–1262. [Google Scholar]
  108. Civale, Y.; Croes, K.; Miyamori, Y.; Veleni, D.; Redolfi, A.; Thangaraju, S.; Van Ammel, A.; Cherman, V.; Van der Plas, G.; Cockburn, A.; et al. On the thermal stability of physically-vapor-deposited diffusion barriers in 3D Through-Silicon Vias during IC processing. Microelectron. Eng. 2013, 106, 155–159. [Google Scholar] [CrossRef]
  109. Knaut, M.; Junige, M.; Neumann, V.; Wojcik, H.; Henke, T.; Hossbach, C.; Hiess, A.; Albert, M.; Bartha, J.W. Atomic layer deposition for high aspect ratio through silicon vias. Microelectron. Eng. 2013, 107, 80–83. [Google Scholar] [CrossRef]
  110. Djomeni, L.; Mourier, T.; Minoret, S.; Fadloun, S.; Piallat, F.; Burgess, S.; Price, A.; Zhou, Y.; Jones, C.; Mathiot, D.; et al. Study of low temperature MOCVD deposition of TiN barrier layer for copper diffusion in high aspect ratio through silicon vias. Microelectron. Eng. 2014, 120, 127–132. [Google Scholar] [CrossRef]
  111. Kee, S.H.; Shin, J.O.; Jung, I.H.; Kim, W.J.; Jung, J.P. TSV filling technology using cu electrodeposition. J. Weld. Join. 2014, 32, 225–232. [Google Scholar] [CrossRef]
  112. Qiu, L.N.; Ni, Z.H.; Qu, X.P. Wet processes deposition for HAR TSV metallization using electroless Co liner and alkaline Cu seed layer. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), San Jose, CA, USA, 27–30 June 2022; pp. 96–98. [Google Scholar]
  113. Hwang, G.H.; Miao, J.H.; Rao, B.S.S.C. Development of Metallization Process for Fine Pitch TSV. In Proceedings of the IEEE 23rd Electronics Packaging Technology Conference (EPTC), Virtual Conference, Singapore, 7–9 December 2021; pp. 633–636. [Google Scholar]
  114. Wang, F.; Le, Y. Experiment and simulation of single inhibitor SH110 for void free TSV copper filling. Sci. Rep. 2021, 11, 12108. [Google Scholar] [CrossRef] [PubMed]
  115. Satheesh, S.M.; Salman, E. Power Distribution in TSV-Based 3-D Processor-Memory Stacks. IEEE J. Emerg. Sel. Top. Circuits Syst. 2012, 2, 692–703. [Google Scholar] [CrossRef]
  116. Xu, K.; Friedman, E.G. Scaling trends of power noise in 3-D ICs. Integration 2015, 51, 139–148. [Google Scholar] [CrossRef]
  117. Hwang, G.; Kalaiselvan, R.; Sam, M.I.E.; Hsiang, H.-Y. Study on Through Silicon Via (TSV) filling failures on various electroplating conditions. In Proceedings of the IEEE 21st Electronics Packaging Technology Conference (EPTC), Singapore, 4–6 December 2019; pp. 75–80. [Google Scholar]
  118. Zhao, F. Improvement on Fully Filled Through Silicon Vias by Optimized Sputtering and Electroplating Conditions. Materials 2019, 12, 3713. [Google Scholar] [CrossRef] [PubMed]
  119. Kim, Y.; Jin, S.; Park, K.; Lee, J.; Lim, J.H.; Yoo, B. Effect of Pulse Current and Pre-Annealing on Thermal Extrusion of Cu in Through-Silicon Via (TSV). Front. Chem. 2020, 8, 771. [Google Scholar] [CrossRef]
  120. Murugesan, M.; Fukushima, T.; Koyanagi, M. 500 nm-sized Ni-TSV with Aspect Ratio 20 for Future 3D-LSIs_A Low-Cost Electroless-Ni Plating Approach. In Proceedings of the 2019 30th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), Saratoga Springs, NY, USA, 6–9 May 2019; pp. 1–5. [Google Scholar]
  121. Hong, S.C.; Kim, W.J.; Jung, J.P. High-speed Cu filling into TSV and non-PR bumping for 3D chip packaging. J. Microelectron. Packag. Soc. 2011, 18, 49–53. [Google Scholar]
  122. Okoro, C.; Labie, R.; Vanstreels, K.; Franquet, A.; Gonzalez, M.; Vandevelde, B.; Beyne, E.; Vandepitte, D.; Verlinden, B. Impact of the electrodeposition chemistry used for TSV filling on the microstructural and thermo-mechanical response of Cu. J. Mater. Sci. 2011, 46, 3868–3882. [Google Scholar] [CrossRef]
  123. Wang, Z.; Wang, H.; Cheng, P.; Ding, G.; Zhao, X. Simultaneous filling of through silicon vias (TSVs) with different aspect ratios using multi-step direct current density. J. Micromech. Microeng. 2014, 24, 085013. [Google Scholar] [CrossRef]
  124. Hofmann, L.; Ecke, R.; Schulz, S.E.; Gessner, T. Investigations regarding through silicon via filling for 3D integration by periodic pulse reverse plating with and without additives. Microelectron. Eng. 2011, 88, 705–708. [Google Scholar] [CrossRef]
  125. Kim, I.R.; Hong, S.C.; Jung, J.P. High speed Cu filling into tapered TSV for 3-dimensional Si chip stacking. Korean J. Met. Mater. 2011, 49, 388–394. [Google Scholar]
  126. Lee, Y. Synthesis and Application of a TEG-Based Leveler and Its Derivatives on Cu Electrodeposition. Ph.D. Thesis, Seoul National University, Seoul, Republic of Korea, 2016. [Google Scholar]
  127. Gabrielli, C.; Mocoteguy, P.; Perrot, H.; Nieto, S.D.; Zdunek, A. A Model for Copper Deposition in the Damascene Process. Electrochim. Acta 2006, 51, 1462–1472. [Google Scholar] [CrossRef]
  128. Hoang, V.H.; Kondo, K. Communication—Conical TSV Filling within 30 Seconds. J. Electrochem. Soc. 2017, 164, D795–D797. [Google Scholar] [CrossRef]
  129. Jung, M.W.; Kim, K.T.; Koo, Y.S.; Lee, J.H. The Effects of Levelers on Electrodeposition of Copper in TSV Filling. J. Microelectron. Packag. Soc. 2012, 19, 55–59. [Google Scholar] [CrossRef]
  130. Kim, H.C.; Kim, M.J.; Kim, J.J. Communication—Acceleration of TSV Filling by Adding Thiourea to PEG-PPG-SPS-I. J. Electrochem. Soc. 2018, 165, D91–D93. [Google Scholar] [CrossRef]
  131. Sung, M.; Yoon, Y.; Hong, J.; Kim, M.J.; Kim, J.J. Bromide Ion as a Leveler for High-Speed TSV Filling. J. Electrochem. Soc. 2019, 166, D546–D550. [Google Scholar] [CrossRef]
  132. Dinh, V.Q.; Kondo, K.; Hoang, V.H.; Hirato, T. Communication—Bottom-Up TSV Filling Using Sulfonated Diallyl Dimethyl Ammonium Bromide Copolymer as a Leveler. J. Electrochem. Soc. 2019, 166, D505–D507. [Google Scholar] [CrossRef]
  133. Ha, H.V.; Kondo, K. Extreme fast filling of conical shape through-silicon vias in 3 minutes and additive optimization. Electrochim. Acta 2016, 212, 270–276. [Google Scholar]
  134. Shin, S.H.; Kim, T.Y.; Park, J.H.; Suh, S.J. Optimization of Additive and Current Conditions for Void-Free Filled Through-Silicon Via. Appl. Sci. 2018, 8, 2135. [Google Scholar] [CrossRef]
  135. Wu, H.; Wang, Y.; Li, Z.; Zhu, W. Investigations of the electrochemical performance and filling effects of additives on electroplating process of TSV. Sci. Rep. 2020, 10, 9204. [Google Scholar] [CrossRef]
  136. Tomie, M.; Akita, T.; Irita, M.; Hayase, M. Transitional Additive Adsorption with Co-Addition of Suppressor and Leveler for Copper TSV Filling. J. Electrochem. Soc. 2020, 167, 082513. [Google Scholar] [CrossRef]
  137. Wang, F.; Zhao, Z.; Nie, N.; Wang, F.; Zhu, W. Dynamic through-silicon-via filling process using copper electrochemical deposition at different current densities. Sci. Rep. 2017, 7, 46639. [Google Scholar] [CrossRef]
  138. Le, Y.; Wang, F. Void free TSV copper filling using single additive 3-(1-pyridinio)-1-propanesulfonate (PPS). In Proceedings of the 2020 3rd International Conference on Advanced Electronic Materials, Computers and Software Engineering (AEMCSE), Shenzhen, China, 24–26 April 2020; pp. 636–640. [Google Scholar]
  139. Tsui, K.Y.K.; Yau, S.K.; Leung, V.C.K.; Sun, P.; Shi, D.X.Q. Parametric study of electroplating-based via-filling process for TSV applications. In Proceedings of the International Conference on Electronic Packaging Technology and High Density Packaging (ICEPT-HDP), Beijing, China, 10–13 August 2009; pp. 23–27. [Google Scholar]
  140. Pohjoranta, A.; Tenno, R. A method for microvia-fill process modeling in a Cu plating system with additives. J. Electrochem. Soc. 2007, 154, D502–D509. [Google Scholar] [CrossRef]
  141. Bae, J.S.; Chang, G.H.; Lee, J.H. Electroplating of copper using pulse-reverse electroplating method for SiP via filling. J. Microelectron. Packag. Soc. 2005, 12, 129. [Google Scholar]
  142. Dai, G.; Wu, S.; Huang, X.; Wang, M.; Wu, R. Research on the coating formation of Al-induced electroless plating on metallic surfaces. J. Mater. Sci. 2023, 58, 3768–3789. [Google Scholar] [CrossRef]
  143. Lin, J.Y.; Wan, C.C.; Wang, Y.Y.; Feng, H.P. Void defect reduction after chemical mechanical planarization of trenches filled by direct/pulse plating. J. Electrochem. Soc. 2007, 154, D139–D144. [Google Scholar] [CrossRef]
  144. Jin, S.; Wang, G.; Yoo, B. Through-Silicon-Via (TSV) Filling by Electrodeposition of Cu with Pulse Current at Ultra-Short Duty Cycle. J. Electrochem. Soc. 2013, 160, D3300–D3305. [Google Scholar] [CrossRef]
  145. Jung, D.H.; Sharma, A.; Kim, K.H.; Choo, Y.C.; Jung, J.P. Effect of Current Density and Plating Time on Cu Electroplating in TSV and Low Alpha Solder Bumping. J. Mater. Eng. Perform. 2015, 24, 1107–1115. [Google Scholar] [CrossRef]
  146. Hong, S.C.; Kumar, S.; Jung, D.H.; Kim, W.J.; Jung, J.P. High speed Cu-Ni filling in to TSV for 3-Dimensional Si chip stacking. Met. Mater. Int. 2013, 19, 123–128. [Google Scholar] [CrossRef]
  147. Xiao, H.; Wang, F.; Wang, Y.; He, H.; Zhu, W. Effect of Ultrasound on Copper Filling of High Aspect Ratio Through-Silicon Via (TSV). J. Electrochem. Soc. 2017, 164, 126–129. [Google Scholar] [CrossRef]
  148. Wang, F.; Zeng, P.; Wang, Y.; Ren, X.; Xiao, H.; Zhu, W. High-speed and high-quality TSV filling with the direct ultrasonic agitation for copper electrodeposition. Microelectron. Eng. 2017, 180, 30–34. [Google Scholar] [CrossRef]
  149. Zeng, P.; Ren, X. The influence of pulse and ultrasonic agitation on TSV filing. In Proceedings of the 2017 18th International Conference on Electronic Packaging Technology (ICEPT), Harbin, China, 16–19 August 2017; pp. 432–435. [Google Scholar]
  150. Jeong, I.H.; Kee, S.H.; Jung, J.P. A study on Electrical Characteristic and Thermal Shock Property of TSV for 3-Dimensional Packaging. J. Microelectron. Electron. Packag. 2014, 21, 23–29. [Google Scholar] [CrossRef]
  151. Majd, A.E.; Jeong, I.H.; Jung, J.P.; Ekere, N.N. Cu Protrusion of Different Through-Silicon Via Shapes under Annealing Process. J. Mater. Eng. Perform. 2021, 30, 4712–4720. [Google Scholar] [CrossRef]
  152. Jeong, I.H.; Majd, A.E.; Jung, J.P.; Ekere, N.M. Electrical and Mechanical Analysis of Different TSV Geometries. Metals 2020, 10, 467. [Google Scholar] [CrossRef]
  153. Pak, J.S.; Ryu, C.; Kim, J. Electrical characterization of trough silicon via (TSV) depending on structural and material parameters based on 3D full wave simulation. In Proceedings of the 2007 International Conference on Electronic Materials and Packaging, Daejeon, Republic of Korea, 19–22 November 2007; pp. 1–6. [Google Scholar]
  154. Belaid, K.A.; Belahrach, H.; Ayad, H. Numerical laplace inversion method for through-silicon via (TSV) noise coupling in 3D-IC design. Electronics 2019, 8, 1010. [Google Scholar] [CrossRef]
  155. Jiang, T.; Im, J.; Huang, R.; Ho, P.S. Through-silicon via stress characteristics and reliability impact on 3D integrated circuits. MRS Bull. 2015, 40, 248–256. [Google Scholar] [CrossRef]
  156. Jung, H.S.; Jang, Y.J.; Choa, S.H.; Jung, J.P. Lower Protrusion of a Copper-Nickel Alloy in a Through-Silicon Via and Its Numerical Simulation. Mater. Trans. 2015, 56, 2034–2041. [Google Scholar] [CrossRef]
  157. Chandrakar, S.; Gupta, D.; Majumder, M.K. Performance analysis of Cu/CNT-based TSV: Impact on crosstalk and power. J. Comput. Electron. 2022, 21, 1262–1274. [Google Scholar] [CrossRef]
  158. Sable, K.; Sahoo, M. Electrical and thermal analysis of cu-CNT composite TSV and GNR interconnects. In Proceedings of the 2020 International Symposium on Devices, Circuits and Systems (ISDCS), Howrah, India, 4–6 March 2020; pp. 1–6. [Google Scholar]
  159. Chen, G.; Sundaram, R.; Sekiguchi, A.; Hata, K.; Futaba, D.N. Through-Silicon-Via Interposers with Cu-Level Electrical Conductivity and Si-Level Thermal Expansion Based on Carbon Nanotube-Cu Composites for Microelectronic Packaging Applications. ACS Appl. Nano Mater. 2021, 4, 869–876. [Google Scholar] [CrossRef]
  160. Lwo, B.J.; Lin, M.S.; Huang, K.H. TSV reliability model under various stress tests. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 620–624. [Google Scholar]
  161. Niklaus, F.; Stemme, G.; Lu, J.Q.; Gutmann, R.J. Adhesive wafer bonding. J. Appl. Phys. 2006, 99, 031101. [Google Scholar] [CrossRef]
  162. Masteika, V.; Kowal, J.; Braithwaite, N.S.J.; Rogers, T.J.E.J. A review of hydrophilic silicon wafer bonding. ECS J. Solid State Sci. Technol. 2014, 3, Q42–Q54. [Google Scholar] [CrossRef]
  163. Zhang, L.; Liu, Z.Q.; Chen, S.W.; Wang, Y.D.; Long, W.M.; Guo, Y.H.; Wang, S.Q.; Ye, G.; Liu, W.Y. Materials, processing and reliability of low temperature bonding in 3D chip stacking. J. Alloy. Compd. 2018, 750, 980–995. [Google Scholar] [CrossRef]
  164. Kim, S.E.; Kim, S. Wafer level Cu-Cu direct bonding for 3D integration. Microelectron. Eng. 2015, 137, 158–163. [Google Scholar] [CrossRef]
  165. Liu, Z.; Cai, J.; Wang, Q. Interfacial morphology and grain orientation during bumpless direct copper bonding. Thin Solid Films 2015, 595, 118–123. [Google Scholar] [CrossRef]
  166. Rebhan, B.; Hingerl, K. Physical mechanisms of copper-copper wafer bonding. J. Appl. Phys. 2015, 118, 135301. [Google Scholar] [CrossRef]
  167. Wu, Z.; Cai, J.; Wang, J.; Geng, Z.; Wang, Q. Low-temperature Cu-Cu bonding using silver nanoparticles fabricated by physical vapor deposition. J. Electron. Mater. 2018, 47, 988–993. [Google Scholar] [CrossRef]
  168. Tan, C.S. Thermal characteristic of Cu-Cu bonding layer in 3-D integrated circuits stack. Microelectron. Eng. 2010, 87, 682–685. [Google Scholar] [CrossRef]
  169. Rebhan, B.; Dragoi, V. Innovative metal thermo-compression wafer bonding for microelectronics and MEMS devices. Proc. SPIE 2017, 10246, 102461H. [Google Scholar]
  170. Ohba, T.; Kim, Y.; Mizushima, Y.; Maeda, N.; Fujimoto, K.; Kodama, S. Review of wafer-level three-dimensional integration (3DI) using bumpless interconnects for tera-scale generation. IEICE Electron. Exp. 2015, 12, 20152002. [Google Scholar] [CrossRef]
  171. Cook, G.O.; Sorensen, C.D. Overview of transient liquid phase and partial transient liquid phase bonding. J. Mater. Sci. 2011, 46, 5305–5323. [Google Scholar] [CrossRef]
  172. Lin, Y.C.; Baum, M.; Haubold, M.; Fromel, J.; Wiemer, M.; Gessner, T.; Esashi, M. Development and evaluation of AuSi eutectic wafer bonding. In Proceedings of the 2009 International Solid-State Sensors, Actuators and Microsystems Conference, Denver, CO, USA, 21–25 June 2009; pp. 244–247. [Google Scholar]
  173. Wolffenbuttel, R.F. Low-temperature intermediate Au-Si wafer bonding; eutectic or silicide bond. Sens. Actuators A 1997, 62, 680–686. [Google Scholar] [CrossRef]
  174. Kühne, S.; Hierold, C. Wafer-level bonding and direct electrical interconnection of stacked 3D MEMS by a hybrid low temperature process. Sens. Actuators A 2011, 172, 341–346. [Google Scholar] [CrossRef]
  175. Sood, S.; Farrens, S.; Pinker, R.; Xie, J.; Cataby, W. Al-Ge eutectic wafer bonding and bond characterization for CMOS compatible wafer packaging. ECS Trans. 2010, 33, 93–101. [Google Scholar] [CrossRef]
  176. Baum, M.; Jia, C.; Haubold, M.; Wiemer, M.; Schneider, A.; Rank, H.; Trautmann, A.; Gessner, T. Eutectic wafer bonding for 3-D integration. In Proceedings of the 3rd Electronics System Integration Technology Conference ESTC, Berlin, Germany, 13–16 September 2010; pp. 1–6. [Google Scholar]
  177. Crnogorac, F.; Pease, F.R.; Birringer, R.P.; Dauskardt, R.H. Low-temperature Al-Ge bonding for 3D integration. J. Vac. Sci. Technol. B 2012, 30, 06FK01. [Google Scholar] [CrossRef]
  178. Wang, J.; Wang, Q.; Liu, Z.; Wu, Z.; Cai, J.; Wang, D. Activation of electroplated-Cu surface via plasma pretreatment for low temperature Cu-Sn bonding in 3D interconnection. Appl. Surf. Sci. 2016, 384, 200–206. [Google Scholar] [CrossRef]
  179. Wang, J.; Wang, Q.; Wu, Z.; Wang, D.; Cai, J. Solid-state-diffusion bonding for wafer-level fine-pitch Cu/Sn/Cu interconnect in 3-D integration. In IEEE Transactions on Components, Packaging and Manufacturing Technology; IEEE: New York, NY, USA, 2017; Volume 7, pp. 19–26. [Google Scholar]
  180. Dragoi, V.; Pabo, E.; Wagenleitner, T.; Flotgen, C.; Rebhan, B.; Corn, K. Metal wafer bonding for 3D interconnects and advanced packaging. In Proceedings of the 2012 13th International Conference on Electronic Packaging Technology & High Density Packaging, Guilin, China, 13–16 August 2012; pp. 114–120. [Google Scholar]
  181. Huang, Z.; Jones, R.E.; Jain, A. Experimental investigation of electromigration failure in Cu-Sn/Cu micropads in 3D integrated circuits. Microelectron. Eng. 2014, 122, 46–51. [Google Scholar] [CrossRef]
  182. Minho, O.; Vakanas, G.; Moelans, N.; Kajihara, M.; Zhang, W. Formation of compounds and Kirkendall vacancy in the Cu-Sn system. Microelectron. Eng. 2014, 120, 133–137. [Google Scholar]
  183. Ezawa, H.; Togasaki, T.; Migita, T.; Yamashita, S.; Inohara, M.; Koshio, Y.; Fukuda, M.; Miyata, M.; Tatsumi, K. Process integration of fine pitch Cu redistribution wiring and SnCu micro-bumping for power efficient LSI devices with high-bandwidth stacked DRAM. Microelectron. Eng. 2013, 103, 22–32. [Google Scholar] [CrossRef]
  184. Wu, Z.; Cai, J.; Wang, Q.; Wang, J.; Wang, D. Wafer-level hermetic package by low-temperature Cu/Sn TLP bonding with optimized Sn thickness. J. Electron. Mater. 2017, 46, 6111–6118. [Google Scholar] [CrossRef]
  185. Peng, J.; Liu, H.S.; Ma, H.B.; Shi, X.M.; Wang, R.C. Microstructure evolution and mechanical reliability of Cu/Au–Sn/Cu joints during transient liquid phase bonding. J. Mater. Sci. 2018, 53, 9287–9296. [Google Scholar] [CrossRef]
  186. Luu, T.T.; Duan, A.N.I.; Aasmundtveit, K.E.; Hoivik, N. Optimized Cu-Sn wafer-level bonding using intermetallic phase characterization. J. Electron. Mater. 2013, 42, 3582–3592. [Google Scholar] [CrossRef]
  187. Murillo, G.; Davis, Z.J.; Keller, S.; Abadal, G.; Agusti, J.; Cagliani, A.; Noeth, N.; Boisen, A.; Barniol, N. Novel SU-8 based vacuum wafer-level packaging for MEMS devices. Microelectron. Eng. 2010, 87, 1173–1176. [Google Scholar] [CrossRef]
  188. Pan, C.T.; Yang, H.; Shen, S.C.; Chou, M.C.; Chou, H.P. A low-temperature wafer bonding technique using patternable materials. J. Micromech. Microeng. 2002, 12, 611–615. [Google Scholar] [CrossRef]
  189. Kim, Y.K.; Kim, E.K.; Kim, S.W.; Ju, B.K. Low temperature epoxy bonding for wafer level MEMS packaging. Sens. Actuators A 2008, 143, 323–328. [Google Scholar] [CrossRef]
  190. Kim, Y.S.; Maeda, N.; Kitada, H.; Fujimoto, K.; Kodama, S.; Kawai, A.; Arai, K.; Suzuki, K.; Nakamura, T.; Ohba, T. Advanced wafer thinning technology and feasibility test for 3D integration. Microelectron. Eng. 2013, 107, 65–71. [Google Scholar] [CrossRef]
  191. Niklaus, F.; Kumar, R.J.; McMahon, J.J.; Yu, J.; Lu, J.Q.; Cale, T.S.; Gutmann, R.J. Adhesive wafer bonding using partially cured benzocyclobutene (BCB) for three-dimensional integration. J. Electrochem. Soc. 2006, 53, G291–G295. [Google Scholar] [CrossRef]
  192. Ohba, T.; Maeda, N.; Kitada, H.; Fujimoto, K.; Suzuki, K.; Nakamura, T.; Kawai, A.; Arai, K. Thinned wafer multi-stack 3DI technology. Microelectron. Eng. 2010, 87, 485–490. [Google Scholar] [CrossRef]
  193. Zussman, M.; Milasincic, C.; Rardin, A.; Kirk, S.; Itabshi, T. Using permanent and temporary polyimide adhesives in 3D/TSV processing to avoid thin wafer handling. J. Microelectron. Electron. Packag. 2010, 7, 214–219. [Google Scholar] [CrossRef]
  194. Zoschke, K.; Fischer, T.; Töpper, M.; Fritzsch, T.; Ehrmann, O.; Itabashi, T.; Zussman, M.P.; Souter, M.; Oppermann, H.; Lang, K.-D. Polyimide based temporary wafer bonding technology for high temperature compliant TSV backside processing and thin device handling. In Proceedings of the 2012 IEEE 62nd Electronic Components and Technology Conference, San Diego, CA, USA, 29 May–1 June 2012; pp. 1054–1061. [Google Scholar]
  195. Niklaus, F.; Decharat, A.; Forsberg, F.; Roxhed, N.; Lapisa, M.; Populin, M.; Zimmer, F.; Lemm, J.; Stemme, G. Wafer bonding with nano-imprint resists as sacrificial adhesive for fabrication of silicon-on-integrated-circuit (SOIC) wafers in 3D integration of MEMS and ICs. Sens. Actuators A 2009, 154, 180–186. [Google Scholar] [CrossRef]
  196. Bleiker, S.J.; Dubois, V.; Schröder, S.; Stemme, G.; Niklaus, F. Adhesive wafer bonding with ultra-thin intermediate polymer layers. Sens. Actuators A 2017, 260, 16–23. [Google Scholar] [CrossRef]
  197. Bu, F.; Ma, Q.; Wang, Z. Delamination of bonding interface between benzocyclobutene (BCB) and silicon dioxide/silicon nitride. Microelectron. Reliab. 2016, 65, 225–233. [Google Scholar] [CrossRef]
  198. Cuminatto, C.; Braccini, M.; Schelcher, G.; Parry, G.; Parrain, F. Mechanical resistance of patterned BCB bonded joints for MEMS packaging. Microelectron. Eng. 2013, 111, 39–44. [Google Scholar] [CrossRef]
  199. Seok, S.; Fryziel, M.; Rolland, N.; Rolland, P.A. Enhancement of bonding strength of packaging based on BCB bonding for RF devices. Microsyst. Technol. 2012, 18, 2035–2039. [Google Scholar] [CrossRef]
  200. Topol, A.W.; La Tulipe, D.C.; Shi, L.; Alam, S.M.; Frank, D.J.; Steen, S.E.; Vichiconti, J.; Posillico, D.; Cobb, M.; Medd, S.; et al. Enabling SOI based assembly technology for three-dimensional (3D) integrated circuits (ICs). In Proceedings of the IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest, Washington, DC, USA, 5 December 2005; pp. 363–366. [Google Scholar]
  201. Gueguen, P.; Ventosa, C.; Di Cioccio, L.; Moriceau, H.; Grossi, F.; Rivoire, M.; Leduc, P.; Clavelier, L. Physics of direct bonding: Applications to 3D heterogeneous or monolithic integration. Microelectron. Eng. 2010, 87, 477–484. [Google Scholar] [CrossRef]
  202. Fournel, F.; Moriceau, H.; Ventosa, C.; Libralesso, L.; Le Tiec, Y.; Signamarcheix, T.; Rieutord, F. Low temperature wafer bonding. ECS Trans. 2008, 16, 475–488. [Google Scholar] [CrossRef]
  203. Lueck, M.; Huffman, A.; Butler, M.; Temple, D.; Garrou, P. Temporary Wafer Bonding Materials and Processes. Device Packag. HiTEC HiTEN CICMT 2012, 2012, 001452–001476. [Google Scholar] [CrossRef]
  204. Kubo, A.; Tamura, K.; Imai, H.; Yoshioka, T.; Oya, S.; Otaka, S. Development of new concept thermoplastic temporary adhesive for 3D-IC integration. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 899–905. [Google Scholar]
  205. Li, G.; Zheng, G.; Ding, Z.; Shi, L.; Li, J.; Chen, Z.; Wang, L.; Tay, A.A.O.; Zhu, W. High-performance ultra-low-k fluorine-doped nanoporous organosilica films for inter-layer dielectric. J. Mater. Sci. 2019, 54, 2379–2391. [Google Scholar] [CrossRef]
  206. Mori, T.; Yamaguchi, T.; Maruyama, Y.; Hasegawa, K.; Kusumoto, S. Material development for 3D wafer bond and de-bonding process. In Proceedings of the 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 26–29 May 2015; pp. 899–905. [Google Scholar]
  207. Tang, H.; Shi, G.; He, R.; Chang, H.H.; Yang, S.S.; Yin, M.; Nguyen, M. High throughput low stress air jetting carrier release for RDL-first fan-out wafer-level-packaging. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1748–1754. [Google Scholar]
  208. Kang, Q.; Wang, C.; Zhou, S.; Li, G.; Lu, T.; Tian, Y.; He, P. Low-Temperature Co-hydroxylated Cu/SiO2 Hybrid Bonding Strategy for a Memory-Centric Chip Architecture. ACS Appl. Mater. Interfaces 2021, 13, 38866–38876. [Google Scholar] [CrossRef] [PubMed]
  209. Hu, J.; Wu, Y.; Li, C.; Wang, L.; Wang, S.; Shi, Z. Pressure-assisted direct bonding of copper to silicon nitride for high thermal conductivity and strong interfacial bonding strength. J. Mater. Sci. 2021, 56, 17994–18005. [Google Scholar] [CrossRef]
  210. Tsai, T.C.; Tsao, W.C.; Lin, W.; Hsu, C.L.; Lin, C.L.; Hsu, C.M.; Lin, J.F.; Huang, C.C.; Wu, J.Y. CMP process development for the via-middle 3D TSV applications at 28 nm technology node. Microelectron. Eng. 2012, 92, 29–33. [Google Scholar] [CrossRef]
  211. Di Cioccio, L.; Gueguen, P.; Taibi, R.; Landru, D.; Gaudin, G.; Chappaz, C.; Rieutord, F.; de Crecy, F.; Radu, I.; Chapelon, L.L.; et al. An overview of patterned metal/dielectric surface bonding: Mechanism, alignment and characterization. J. Electrochem. Soc. 2011, 158, P81–P86. [Google Scholar] [CrossRef]
  212. Utsumi, J.; Kensuke, I.; Ichiyanagi, Y. Cu/SiO2 hybrid bonding obtained by surface-activated bonding method at room temperature using Si ultrathin films. Micro Nano Eng. 2019, 2, 1–6. [Google Scholar] [CrossRef]
  213. Rao, C.; Wang, T.; Wang, J.; Liu, Y.; Lu, X. Improvement of via dishing and non-uniformity in TSV chemical mechanical planarization. Microelectron. Eng. 2016, 151, 38–46. [Google Scholar] [CrossRef]
  214. Yi, T.Q.; Gillman, F.G., Jr.; Enquist, P.M. Method for Low Temperature Bonding and Bonded Structure. U.S. Patent 6,902,987, 16 February 2000. [Google Scholar]
  215. Wang, L.; Fountain, G.; Lee, B.; Gao, G.; Uzoh, C.; McGrath, S.; Enquist, P.; Arkalgud, S.; Mirkarimi, L. Direct bond interconnect (DBI®) for fine-pitch bonding in 3D and 2.5D integrated circuits. In Proceedings of the 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI, USA, 6–9 February 2017. [Google Scholar]
  216. Song, Z.; Wu, D.; Zhu, H.; Liu, L.; Wang, Z. Void-formation in uncured and partially-cured BCB bonding adhesive on patterned surfaces. Microelectron. Eng. 2015, 137, 164–168. [Google Scholar] [CrossRef]
  217. Chang, Y.J.; Ko, C.T.; Chen, K.N. Electrical and reliability investigation of Cu TSVs with low-temperature Cu/Sn and BCB hybrid bond scheme. In IEEE Electron Device Letters; IEEE: New York, NY, USA, 2013; Volume 24, pp. 102–104. [Google Scholar]
  218. Ko, C.T.; Hsiao, Z.C.; Chang, Y.J.; Chen, P.S.; Huang, J.H.; Fu, H.C.; Huang, Y.J.; Chiang, C.W.; Tsat, W.L.; Chen, Y.H.; et al. Wafer-level 3D integration with Cu TSV and micro-bump/adhesive hybrid bonding technologies. In Proceedings of the 2011 IEEE International 3D Systems Integration Conference, Osaka, Japan, 31 January–2 February 2012; pp. 1–4. [Google Scholar]
  219. Yao, M.; Fan, J.; Zhao, N.; Xiao, Z.; Yu, D.; Ma, H. Simplified low-temperature wafer-level hybrid bonding using pillar bump and photosensitive adhesive for three-dimensional integrated circuit integration. J. Mater. Sci. Mater. Electron. 2017, 28, 9091–9095. [Google Scholar] [CrossRef]
  220. Nimura, M.; Mizuno, J.; Shoji, S.; Sakuma, K.; Ogino, H.; Enomoto, T.; Shigetou, A. Hybrid Au-adhesive bonding using planar adhesive structure for 3-D LSI. IEEE Trans. Comp. Packag. Manuf. Technol. 2014, 4, 762–768. [Google Scholar] [CrossRef]
  221. Huesgen, T.; Lenk, G.; Albrecht, B.; Vulto, P.; Lemke, T.; Woias, P. Optimization and characterization of wafer-level adhesive bonding with patterned dry-film photoresist for 3D MEMS integration. Sens. Actuators A 2010, 162, 137–144. [Google Scholar] [CrossRef]
  222. Wang, T.; Daily, R.; Capuz, G.; Gerets, C.; Rebibis, K.J.; Miller, A.; Beyer, G.; Beyne, E. Development of underfilling and thermo-compression bonding processes for stacking multi-layer 3D ICs. In Proceedings of the 5th Electronics System-integration Technology Conference (ESTC), Helsinki, Finland, 16–18 September 2014; pp. 1–5. [Google Scholar]
  223. Tanida, K.; Umemoto, M.; Kojima, K.; Takahashi, K. 3D Chip Stacking Utilizing 20 μm-Pitch Micro Cu Bump Interconnection. J. Jpn. Inst. Electron. Packag. 2005, 8, 308–317. [Google Scholar] [CrossRef]
  224. Jung, Y.; Ryu, D.; Gim, M.; Kim, C.; Song, Y.; Kim, J.; Yoon, J.; Lee, C. Development of next generation flip chip interconnection technology using homogenized laser-assisted bonding. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; pp. 88–94. [Google Scholar]
  225. Ng, F.C.; Abas, A.; Abdullah, M.Z. Effect of solder bump shapes on underfill flow in flip-chip encapsulation using analytical, numerical and PIV experimental approaches. Microelectron. Reliab. 2018, 81, 41–63. [Google Scholar] [CrossRef]
  226. Gerber, M.; Beddingfield, C.; O’Connor, S.; Yoo, M.; Lee, M.; Kang, D.; Park, S.; Zwenger, C.; Darveaux, R.; Lanzone, R.; et al. Next generation fine pitch Cu Pillar technology—Enabling next generation silicon nodes. In Proceedings of the IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 31 May–3 June 2011; pp. 612–618. [Google Scholar]
  227. Goh, Y.; Haseeb, A.S.M.A.; Liew, H.L.; Sabri, M.F.M. Deformation and fracture behaviour of electroplated Sn–Bi/Cu solder joints. J. Mater. Sci. 2015, 50, 4258–4269. [Google Scholar] [CrossRef]
  228. Jung, S.W.; Jung, J.P.; Zhou, Y. Characteristics of Sn–Cu solder bump formed by electroplating for flip chip. IEEE Trans. Electron. Packag. Manuf. 2006, 29, 10–16. [Google Scholar] [CrossRef]
  229. Yusof, A.A.M.; Januddi, M.A.F.M.S.; Harun, M.N. A study of micro-scale solder bump geometric shapes using minimizing energy approach for different solder materials. Ain Shams Eng. J. 2022, 13, 101769. [Google Scholar] [CrossRef]
  230. Kim, Y.S.; Hong, J.W.; Park, Y.J.; Hyun, S.H.; Park, M.S.; Son, J.H.; Lee, G.J.; Son, H.Y.; Kim, N.S.; Jang, J.W. Microstructure evolution and acceleration factor (AF) of micro-solder bumps in through-silicon-via (TSV) in high temperature storage (HTS) conditions. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 710–715. [Google Scholar]
  231. Wang, X.; Ji, X.; Du, Y.; Wang, Y.; Guo, F. Retarding microstructural evolution of multiple-elemental SnAgCu solder joints during thermal cycling by strengthening Sn matrix. J. Mater. Sci. 2023, 58, 4199–4212. [Google Scholar] [CrossRef]
  232. Xiong, M.; Zhang, L. Interface reaction and intermetallic compound growth behavior of Sn-Ag-Cu lead-free solder joints on different substrates in electronic packaging. J. Mater. Sci. 2019, 54, 1741–1768. [Google Scholar] [CrossRef]
  233. Mayappan, R.; Salleh, A. Intermetallic growth activation energy improvement in graphene doped Sn-3.5 Ag solder. Mater. Lett. 2022, 310, 131480. [Google Scholar] [CrossRef]
  234. Li, Z.; Cheng, L.; Li, G. Microstructure regulation of Sn-3.0 Ag-0.5 Cu micro-solder joints by hybridizing nano-TiO2. Microelectron. Reliab. 2022, 131, 114515. [Google Scholar] [CrossRef]
  235. Guo, B.; Kunwar, A.; Zhao, N.; Chen, J.; Wang, Y.; Ma, H. Effect of Ag3Sn nanoparticles and temperature on Cu6Sn5 IMC growth in Sn-xAg/Cu solder joints. Mater. Res. Bull. 2018, 99, 239–248. [Google Scholar] [CrossRef]
  236. Bi, X.; Hu, X.; Li, Y.; Jiang, X. Interfacial IMC Growth and Nanomechanical Characterizations of Solder in Sn-16Sb/Cu Joints during Solid-state Aging. J. Wuhan Univ. Technol. Mater. Sci. Ed. 2019, 34, 1210–1219. [Google Scholar] [CrossRef]
  237. Jeong, G.; Yu, D.Y.; Baek, S.; Bang, J.; Lee, T.I.; Jung, S.B.; Kim, J.S.; Ko, Y.H. Interfacial reactions and mechanical properties of Sn–58Bi solder joints with Ag nanoparticles prepared using ultra-fast laser bonding. Materials 2021, 14, 335. [Google Scholar] [CrossRef]
  238. Yang, G.; Li, X.; Han, X.; Zhang, H.; Wen, L.; Li, S. Microstructure evolution and mechanical properties changes during the formation of full Cu41Sn11 joint in high-temperature electronic packaging. Microelectron. Reliab. 2022, 130, 114481. [Google Scholar] [CrossRef]
  239. Pu, L.; Liu, Y.; Yang, Y.; He, Q.; Zhou, Z.; Zhao, X.; Tan, C.; Tu, K.N. Effect of adding Ag to the medium entropy SnBiIn alloy on intermetallic compound formation. Mater. Lett. 2020, 272, 127891. [Google Scholar] [CrossRef]
  240. Xu, K.K.; Zhang, L.; Jiang, N. Effect of CNTs on the intermetallic compound growth between Sn solder and Cu substrate during aging and reflowing. J. Mater. Sci. Mater. Electron. 2021, 32, 2655–2666. [Google Scholar] [CrossRef]
  241. Sivakumar, P.; O’Donnell, K.; Cho, J. Effects of bismuth and nickel on the microstructure evolution of Sn-Ag-Cu (SAC)-based solders. Mater. Today Commun. 2021, 26, 101787. [Google Scholar] [CrossRef]
  242. Jiang, N.; Zhang, L.; Long, W.M.; Zhong, S.J.; Zhang, L. Influence of doping Ti particles on intermetallic compounds growth at Sn58Bi/Cu interface during solid–liquid diffusion. J. Mater. Sci. Mater. Electron. 2021, 32, 3341–3351. [Google Scholar] [CrossRef]
  243. Bao, N.; Hu, X.; Li, Q. Investigation of the interfacial reactions and growth behavior of interfacial intermetallic compound between Sn37Pb solder and Au/Ni/Kovar substrate. Mater. Res. Express 2019, 6, 076306. [Google Scholar] [CrossRef]
  244. Zaimi, N.S.M.; Salleh, M.A.A.M.; Sandu, A.V.; Abdullah, M.A.B. Performance of Sn-3.0 Ag-0.5 Cu Composite Solder with Kaolin Geopolymer Ceramic Reinforcement on Microstructure and Mechanical Properties under Isothermal Ageing. Materials 2021, 14, 776. [Google Scholar] [CrossRef]
  245. Tian, S.; Cao, R.; Fan, Q.; Zhou, X.; Jia, Y.; Zhou, J.; Xue, F.; Sun, Z. Mitigation of whisker growth by improving the creep properties of Sn coating with bismuth. J. Mater. Sci. 2021, 56, 17131–17145. [Google Scholar] [CrossRef]
  246. Liu, X.W.; Plumbridge, W.J.   Damage Produced in Solder Alloys during Thermal Cycling. J. Electron. Mater. 2007, 36, 1111–1120. [Google Scholar] [CrossRef]
  247. Chen, X.; Xue, F.; Zhou, J.; Yao, Y. Effect of In on microstructure, thermodynamic characteristic and mechanical properties of Sn–Bi based lead-free solder. J. Alloy. Compd. 2015, 633, 377–383. [Google Scholar] [CrossRef]
  248. Lee, C.J.; Myung, W.R.; Park, B.G.; Jung, S.B. Effect of Ag-decorated MWCNT on the mechanical and thermal property of Sn58Bi solder joints for FCLED package. J. Mater. Sci. Mater. Electron. 2020, 31, 10170–10176. [Google Scholar] [CrossRef]
  249. Shen, L.; Foo, A.Q.; Wang, S.; Chen, Z. Enhancing creep resistance of SnBi solder alloy with non-reactive nano fillers: A study using nanoindentation. J. Alloy. Compd. 2017, 729, 498–506. [Google Scholar] [CrossRef]
  250. Shen, J.; Liu, Y.; Wang, D.; Gao, H. Nano ZrO2 Particulate-reinforced Lead-Free Solder Composite. J. Mater. Sci. Technol. 2006, 22, 529–532. [Google Scholar]
  251. Mavoori, H.; Jin, S. New, creep-resistant, low melting point solders with ultrafine oxide dispersions. J. Electron. Mater. 1998, 27, 1216–1222. [Google Scholar] [CrossRef]
  252. Shen, L.; Wu, Y.; Wang, S.; Chen, Z. Creep behavior of Sn–Bi solder alloys at elevated temperatures studied by nanoindentation. J. Mater. Sci. Mater. Electron. 2017, 28, 4114–4124. [Google Scholar] [CrossRef]
  253. Yang, L.; Boqiao, R.; Min, Z.; Xianghua, Z.; Fenglian, S. Microstructure, mechanical, and thermal behaviors of SnBi/Cu solder joint enhanced by porous Cu. J. Mater. Sci. Mater. Electron. 2020, 31, 8258–8267. [Google Scholar]
  254. Shen, J.; Pu, Y.; Yin, H.; Luo, D.; Chen, J. Effects of minor Cu and Zn additions on the thermal, microstructure and tensile properties of Sn–Bi-based solder alloys. J. Alloy. Compd. 2014, 614, 63–70. [Google Scholar] [CrossRef]
  255. McCluskey, P.; Munamarty, R.; Pecht, M. Popcorning in PBGA Packages during IR Reflow Soldering. Microelectron. Int. 1997, 14, 20–23. [Google Scholar] [CrossRef]
  256. Miao, H.W.; Duh, J.G. Microstructure evolution in Sn-Bi and Sn-Bi-Cu solder joints under thermal aging. Mater. Chem. Phys. 2001, 71, 255–271. [Google Scholar] [CrossRef]
  257. Wang, X.; Zhang, L.; Li, M.L.; Wang, X.; Zhao, M. Enhancement of structure and properties of Sn58Bi solder by AlN ceramic particles. J. Mater. Res. Technol. 2022, 19, 2584–2595. [Google Scholar] [CrossRef]
  258. Singh, A.; Durairaj, R. Study on Hardness and Shear Strength with Microstructure Properties of Sn52Bi/Cu + 1% Al2O3 Nanoparticles. IOP Conf. Ser. Mater. Sci. Eng. 2020, 834, 012075. [Google Scholar] [CrossRef]
  259. Torres, A.; Hernández, L.; Domínguez, O. Effect of Antimony Additions on Corrosion and Mechanical Properties of Sn-Bi Eutectic Lead-Free Solder Alloy. Mater. Sci. Appl. 2012, 3, 355–362. [Google Scholar] [CrossRef]
  260. Choi, J.Y.; Park, D.; Oh, T.S. Chip Interconnection Process for Smart Fabrics Using Flip-Chip Bonding of SnBi Solder. J. Microelectron. Packag. Soc. 2012, 19, 71–76. [Google Scholar] [CrossRef]
  261. Ren, G.; Wilding, I.J.; Collins, M.N. Alloying influences on low melt temperature SnZn and SnBi solderalloys for electronic interconnections. J. Alloy. Compd. 2016, 665, 251–260. [Google Scholar] [CrossRef]
  262. Huang, Y.C.; Chen, S.W. Effects of Co alloying and size on solidification and interfacial reactions in Sn-57 wt.%Bi-(Co)/Cu couples. J. Electron. Mater. 2011, 40, 62–70. [Google Scholar] [CrossRef]
  263. Yim, B.-S.; Youn, H.J.; Lee, J.I.; Kim, J.-M. Influence of Carbon Nanotube Concentration on the Interconnection Properties of Solderable Isotropic and Anisotropic Conductive Adhesive. J. Weld. Join. 2020, 38, 152–157. [Google Scholar] [CrossRef]
  264. Zhou, S.; Yang, C.-H.; Lin, S.-K.; AlHazaa, A.N.; Mokhtari, O.; Liu, X.; Nishikawa, H. Effects of Ti addition on the microstructure, mechanical properties and electrical resistivity of eutectic Sn58Bi alloy. Mater. Sci. Eng. A 2019, 744, 560–569. [Google Scholar] [CrossRef]
  265. Yeh, J.T.C. Characterization of In-based eutectic alloys used in Josephson packaging. Metall. Trans. A 1982, 13, 1547–1562. [Google Scholar] [CrossRef]
  266. Tsai, W.S.; Huang, C.Y.; Chung, C.K.; Yu, K.H.; Lin, C.F. Generational changes of flip chip interconnection technology. In Proceedings of the 2017 12th International Microsystems, Packaging, Assembly and Circuits Technology Conference, Taipei, Taiwan, 25–27 October 2017; pp. 306–310. [Google Scholar]
  267. Chen, K.M.; Lin, T.S. Copper pillar bump design optimization for lead free flip-chip packaging. J. Mater. Sci. Mater. Electron. 2010, 21, 278–284. [Google Scholar] [CrossRef]
  268. Orii, Y.; Toriyama, K.; Noma, H.; Oyama, Y.; Nishiwaki, H.; Ishida, M.; Nishio, T.; LaBianca, N.C.; Feger, C. Ultrafine-pitch C2 flip chip interconnections with solder-capped Cu pillar bumps. In Proceedings of the 2009 59th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 26–29 May 2009; pp. 948–953. [Google Scholar]
  269. Lau, J.H. Recent advances and trends in advanced packaging. IEEE Trans. Compon. Packag. Manuf. Technol. 2022, 12, 228–252. [Google Scholar] [CrossRef]
  270. Xu, K.; Fu, X.; Wang, X.; Fu, Z.; Yang, X.; Chen, S.; Shi, Y.; Huang, Y.; Chen, H. The effect of grain orientation of β-Sn on Copper pillar solder joints during electromigration. Materials 2022, 15, 108. [Google Scholar] [CrossRef]
  271. Lee, J.H.; Jung, J.P. Characterization of low temperature TLP bonding for Power Module in Electric Vehicle. Proc. Korean Weld. Join. Soc. 2020, 71, 289. [Google Scholar]
  272. Nah, J.; Gelorme, J.; Sorce, P.; Lauro, P.; Perfecto, E.; Mcleod, M.; Yoriyama, K.; Orii, Y.; Brofman, P.; Nauchi, T.; et al. Wafer IMS (injection molded solder)—A new fine pitch solder bumping technology on wafers with solder alloy composition flexibility. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 1308–1313. [Google Scholar]
  273. Aoki, T.; Toriyama, K.; Mori, H.; Orii, Y.; Nah, J.; Takahashi, S.; Mukawa, J.; Hasegawa, K.; Kusumoto, S.; Inomata, K. IMS (injection molded solder) technology with liquid photoresist for ultra fine pitch bumping. In Proceedings of the International Symposium on Microelectronics, San Diego, CA, USA, 13–18 October 2014; pp. 713–717. [Google Scholar]
  274. Hyang, C.Y.; Lin, Y.H.; Ying, K.C.; Ku, C.L. The solder paste printing process: Critical parameters, defect scenarios, specifications, and cost reduction. Solder. Surf. Mt. Technol. 2011, 23, 211–223. [Google Scholar] [CrossRef]
  275. Kim, M.S.; Hong, W.S.; Kim, M.G. Flip Chip-Chip Scale Package Bonding Technology with Type 7 Solder Paste Printing. J. Weld. Join. 2021, 39, 359–367. [Google Scholar] [CrossRef]
  276. Kumar, S.; Mallik, S.; Ekere, N.; Jung, J.P. Stencil Printing Behavior of Lead-Free Sn-3Ag-0.5Cu Solder Paste for Wafer Level Bumping for Sub-100 µm Size Solder Bumps. Met. Mater. Int. 2013, 19, 1083–1090. [Google Scholar] [CrossRef]
  277. Li, J.; Zhang, Y.; Zhang, H.; Chen, Z.; Zhou, C.; Liu, X.; Zhu, W. The thermal cycling reliability of copper pillar solder bump in flip chip via thermal compression bonding. Microelectron. Reliab. 2020, 104, 113543. [Google Scholar] [CrossRef]
  278. Shigetou, A.; Itoh, T.; Suga, T. Direct bonding of CMP-Cu films by surface activated bonding (SAB) method. J. Mater. Sci. 2005, 40, 3149–3154. [Google Scholar] [CrossRef]
  279. Lykova, M.; Panchenko, I.; Künzelmann, U.; Reif, J.; Geidel, M.; Wolf, M.J.; Lang, K.D. Characterisation of Cu/Cu bonding using self-assembled monolayer. Solder. Surf. Mt. Technol. 2018, 30, 106–111. [Google Scholar] [CrossRef]
  280. Ong, J.J.; Chiu, W.L.; Lee, O.H.; Chiang, C.W.; Chang, H.H.; Wang, C.H.; Shie, K.C.; Yang, S.C.; Tran, D.P.; Tu, K.N.; et al. Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using (111)-Oriented Cu Surfaces. Materials 2022, 15, 1888. [Google Scholar] [CrossRef]
  281. Hong, Z.J.; Liu, D.; Hu, H.W.; Cho, C.I.; Weng, M.W.; Liu, J.H.; Chen, K.N. Investigation of bonding mechanism for low-temperature CuCu bonding with passivation layer. Appl. Surf. Sci. 2022, 592, 153243. [Google Scholar] [CrossRef]
  282. Murugesan, M.; Mori, K.; Sawa, M.; Sone, E.; Koyanagi, M.; Fukushima, T. Cu-SiO2 Hybrid Bonding Yield Enhancement Through Cu Grain Enlargement. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; pp. 685–690. [Google Scholar]
  283. Hu, H.W.; Chen, K.N. Development of low temperature Cu-Cu bonding and hybrid bonding for three-dimensional integrated circuits (3D IC). Microelectron. Reliab. 2021, 127, 114412. [Google Scholar] [CrossRef]
  284. Liu, D.; Chen, P.C.; Chou, T.C.; Hu, H.W.; Chen, K.N. Demonstration of Low-Temperature Fine-Pitch Cu/SiO2 Hybrid Bonding by Au Passivation. IEEE J. Electron Devices Soc. 2021, 9, 868–875. [Google Scholar] [CrossRef]
  285. Kagawa, Y.; Fujii, N.; Aoyagi, K.; Kobayashi, Y.; Nishi, S.; Todaka, N.; Takeshita, S.; Taura, J.; Takahashi, H.; Nishimura, Y.; et al. Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding. In Proceedings of the 2016 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 3–7 December 2016; pp. 8.4.1–8.4.4. [Google Scholar]
  286. Che, F.X.; Ji, H.M.; Li, H.Y.; Kawano, M. Wafer-to-wafer hybrid bonding development by advanced finite element modeling for 3-D IC packages. IEEE Trans. Compon. Packag. Manuf. Technol. 2020, 10, 2106–2117. [Google Scholar]
  287. Beilliard, Y.; Moreau, S.; Di Cioccio, L.; Coudrain, P.; Romano, G.; Nowodzinski, A.; Aussenac, F.; Jouneau, P.; Rolland, E.; Signamarcheix, T. Advances toward reliable high density Cu-Cu interconnects by Cu-SiO2 direct hybrid bonding. In Proceedings of the 2014 International 3D Systems Integration Conference (3DIC), Kinsdale, Ireland, 1–3 December 2014; pp. 1–8. [Google Scholar]
  288. Seo, H.; Park, H.; Kim, S.E. Cu-SiO2 Hybrid Bonding. J. Microelectron. Electron. Packag. 2020, 27, 17–24. [Google Scholar]
  289. Tseng, C.H.; Tu, K.N.; Chen, C. Comparison of oxidation in uni-directionally and randomly oriented Cu films for low temperature Cu-to-Cu direct bonding. Sci. Rep. 2018, 8, 10671. [Google Scholar] [CrossRef]
  290. Choi, D.; Han, S.; Chu, H.J.; Kim, I.; Kim, S. H2 Plasma Pre-Treatment for Low Temperature Cu-Cu Bonding. J. Microelectron. Packag. Soc. 2021, 28, 109–114. [Google Scholar]
  291. Choi, S.; Kim, G.; Seo, H.; Kim, S.E.; Park, Y.B. Effects of Ar/N2 Two-step Plasma Treatment on the Quantitative Interfacial Adhesion Energy of Low-Temperature Cu-Cu Bonding Interface. J. Microelectron. Packag. Soc. 2021, 28, 29–37. [Google Scholar]
  292. Jyoti, J.; Singh, B.P. A review on 3D graphene–carbon nanotube hybrid polymer nanocomposites. J. Mater. Sci. 2021, 56, 17411–17456. [Google Scholar] [CrossRef]
  293. Wang, S.; Fan, Y.; Wang, Q.; Yang, L.; Shang, J.; Qiu, S.; Zhang, W. Core–shell porphyrin·multi-walled carbon nanotube hybrids linked by multiple hydrogen bonds: Nanostructure and electronic communication. J. Mater. Sci. 2018, 53, 10835–10845. [Google Scholar] [CrossRef]
  294. Liu, D.; Kuo, T.Y.; Liu, Y.W.; Hong, Z.J.; Chung, Y.T.; Chou, T.C.; Hu, H.W.; Chen, K.N. Investigation of low-temperature Cu–Cu direct bonding with Pt passivation layer in 3-D integration. IEEE Trans. Compon. Packag. Manuf. Technol. 2021, 11, 573–578. [Google Scholar] [CrossRef]
  295. Yang, W.; Shintani, H.; Akaike, M.; Suga, T. Low temperature Cu-Cu direct bonding using formic acid vapor pretreatment. In Proceedings of the 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 31 May–3 June 2011; pp. 2079–2083. [Google Scholar]
  296. He, R.; Fujino, M.; Yamauchi, A.; Wang, Y.; Suga, T. Combined surface activated bonding technique for low-temperature Cu/dielectric hybrid bonding. ECS J. Solid State Sci. Technol. 2016, 5, 419–424. [Google Scholar] [CrossRef]
  297. Liu, D.; Chen, P.C.; Hsiung, C.K.; Huang, S.Y.; Huang, Y.P.; Verhaverbeke, S.; Mori, G.; Chen, K.N. Low temperature Cu/SiO2 hybrid bonding with metal passivation. In Proceedings of the 2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 16–19 June 2020; pp. 1–2. [Google Scholar]
  298. Park, S.; Kim, Y.; Kim, S.E. Evaluation of 12nm Ti layer for low temperature Cu-Cu bonding. J. Microelectron. Packag. Soc. 2021, 28, 9–15. [Google Scholar]
  299. Depiver, A.; Mallik, S.; Amalu, E.H. Thermal fatigue life of ball grid array (BGA) solder joints made from different alloy compositions. Eng. Fail. Anal. 2021, 125, 105447. [Google Scholar] [CrossRef]
  300. Tikale, S.; Prabhu, N.K. Bond shear strength of Al2O3 nanoparticles reinforced 2220-capacitor/SAC305 solder interconnects reflowed on bare and Ni-coated copper substrate. J. Mater. Sci. Mater. Electron. 2021, 32, 2865–2886. [Google Scholar] [CrossRef]
  301. Su, M.; Black, B.; Hsiao, Y.; Changchien, C.; Lee, C.; Chang, H. 2.5D IC micro-bump materials characterization and IMCs evolution under reliability stress conditions. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; pp. 322–328. [Google Scholar]
  302. Sharma, A.; Bhattacharya, S.; Das, S.; Das, K. Fabrication of Sn-Ag/CeO2 Electro-Composite Solder by Pulse Electrodeposition. Metall. Mater. Trans. A 2013, 44, 5587–5601. [Google Scholar] [CrossRef]
  303. Bukat, K.; Sitek, J.; Koscielski, M.; Miedzwiedz, W.; Mlozniak, A.; Jakubowska, M. SAC solder paste with carbon nanotubes. Part II: Carbon nanotubes ‘effect on solder joints’ mechanical properties and microstructure. Solder. Surf. Mt. Technol. 2013, 25, 195–208. [Google Scholar] [CrossRef]
  304. Tsao, L.C.; Chang, S.Y.; Lee, C.I.; Sun, W.H.; Huang, C.H. Effects of Nano-Al2O3 additions on microstructure development and hardness of Sn3.5Ag0.5Cu solder. Mater. Des. 2010, 31, 4831–4835. [Google Scholar] [CrossRef]
  305. Chang, N.; Chung, C.K.; Wang, Y.P.; Lin, C.F.; Su, P.J.; Shih, T.; Kao, N.; Joe, H. 3D micro bump interface enabling top die interconnect to true circuit through silicon via wafer. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 26–29 May 2020; pp. 1888–1893. [Google Scholar]
  306. Chuang, H.Y.; Yang, T.L.; Kuo, M.S.; Chen, Y.J.; Yu, J.J.; Li, C.C.; Kao, C.R. Critical Concerns in Soldering Reactions Arising from Space Confinement in 3-D IC Packages. IEEE Trans. Device Mater. Reliab. 2012, 12, 233–240. [Google Scholar] [CrossRef]
  307. Bashir, M.N.; Haseeb, A.S.M.A. Improving mechanical and electrical properties of Cu/SAC305/Cu solder joints under electromigration by using Ni nanoparticles doped flux. J. Mater. Sci. Mater. Electron. 2018, 29, 3182–3318. [Google Scholar] [CrossRef]
  308. Ismail, N.; Jalar, A.; Atiqah, A.; Abu, B.M. Electrical resistivity of Sn-3.0Ag-0.5Cu solder joint with the incorporation of carbon nanotubes. Nomaterials 2021, 11, 184798042199653. [Google Scholar] [CrossRef]
  309. Yeh, J.W.; Chen, S.K.; Lin, S.J.; Gan, J.Y.; Chin, T.S.; Shun, T.T.; Tsau, C.H.; Chang, S.Y. Nanostructured high-entropy alloys with multiple principal elements: Novel alloy design concepts and outcomes. Adv. Eng. Mater. 2004, 6, 299–303. [Google Scholar] [CrossRef]
  310. He, X.Y.; Wang, H.; Zhu, Z.G.; Wang, L.Z.; Liu, J.Q.; Haghdadi, N.; Nai, S.M.L.; Huang, J.; Primig, S.; Ringer, S.P.; et al. Texture evolution in a CrMnFeCoNi high-entropy alloy manufactured by laser powder bed fusion. J. Mater. Sci. 2022, 57, 9714–9725. [Google Scholar] [CrossRef]
  311. Zhang, Y.; Zuo, T.T.; Tang, Z.; Gao, M.C.; Dahmen, K.A.; Liaw, P.K.; Lu, Z.P. Microstructures and properties of high-entropy alloys. Prog. Mater. Sci. 2014, 61, 1–93. [Google Scholar] [CrossRef]
  312. Nguyen, N.T.N.; Rad, P.A.; Park, H.; Kim, H.S. Differential superplasticity in a multi-phase multi-principal element alloy by initial annealing. J. Mater. Sci. 2022, 57, 18154–18167. [Google Scholar] [CrossRef]
  313. Hardwick, L.; Rodgers, P.; Pickering, E.; Goodall, R. Development of a Novel Ni-Based Multi-Principal Element Alloy Filler Metal, Using an Alternative Melting Point Depressant. Metall. Mater. Trans. A 2021, 52, 2534–2548. [Google Scholar] [CrossRef]
  314. Sharma, A.; Ahn, B. Vacuum brazing of Al2O3 and 3D printed Ti6Al4V lap-joints using high entropy driven AlZnCuFeSi filler. Sci. Rep. 2021, 11, 9345. [Google Scholar] [CrossRef]
  315. Chou, Y.L.; Wang, Y.C.; Yeh, J.W.; Shih, H.C. The effect of molybdenum on the corrosion behavior of the high-entropy alloys Co1.5CrFeNi1.5Ti0.5Mox in aqueous environments. Corros. Sci. 2010, 52, 1026–1034. [Google Scholar] [CrossRef]
  316. Chen, C.; Zhang, H.; Fan, Y.Z.; Zhang, W.W.; Wei, R.; Wang, T.; Zhang, T.; Li, F.S. A novel ultrafine-grained high entropy alloy with excellent combination of mechanical and soft magnetic properties. J. Magn. Magn. Mater. 2020, 502, 5. [Google Scholar] [CrossRef]
  317. Ozdemir, H.C.; Bedir, E.; Yilmaz, R.; Yagci, M.B.; Canadinc, D. Machine learning-assisted design of biomedical high entropy alloys with low elastic modulus for orthopedic implants. J. Mater. Sci. 2022, 57, 11151–11169. [Google Scholar] [CrossRef]
  318. Zhou, J.; Yang, J.; Zhang, X.; Ma, F.; Ma, K.; Cheng, Y. Research status of tribological properties optimization of high-entropy alloys: A review. J. Mater. Sci. 2023, 58, 4257–4291. [Google Scholar] [CrossRef]
  319. Liu, Y.; Pu, L.; Yang, Y.; He, Q.; Zhou, Z.; Tan, C.; Zhao, X.; Zhang, Q.; Tu, K.N. A high-entropy alloy as very low melting point solder for advanced electronic packaging. Mater. Today Adv. 2020, 7, 100101. [Google Scholar] [CrossRef]
Figure 1. (a) Last decade growth in TSV research literature. (b) The breakdown of research articles obtained from different research databases [59].
Figure 1. (a) Last decade growth in TSV research literature. (b) The breakdown of research articles obtained from different research databases [59].
Materials 16 07652 g001
Figure 2. Schematic of TSV fabrication through Bosch process with scallop (a) and scallop-free etching process (b).
Figure 2. Schematic of TSV fabrication through Bosch process with scallop (a) and scallop-free etching process (b).
Materials 16 07652 g002
Figure 3. Plasma-diced Si-wafers. The cross-section images are shown with Cu-filling [80].
Figure 3. Plasma-diced Si-wafers. The cross-section images are shown with Cu-filling [80].
Materials 16 07652 g003
Figure 4. (a) Schematic of Cu deposition, (bd) Subconformal, conformal, and superconformal filling profiles [126].
Figure 4. (a) Schematic of Cu deposition, (bd) Subconformal, conformal, and superconformal filling profiles [126].
Materials 16 07652 g004
Figure 5. (a) Effect of various levelers on deposition potential [129], (be) corresponding morphology of Cu, (b) Stock solution, (c) JGB, (d) DB, and (e) MV [129].
Figure 5. (a) Effect of various levelers on deposition potential [129], (be) corresponding morphology of Cu, (b) Stock solution, (c) JGB, (d) DB, and (e) MV [129].
Materials 16 07652 g005
Figure 6. Effect of cathodic current density and time on TSV filling. (a) −4, −6, −8, −10 mA/cm2. (b) 2, 4, 8 h [145].
Figure 6. Effect of cathodic current density and time on TSV filling. (a) −4, −6, −8, −10 mA/cm2. (b) 2, 4, 8 h [145].
Materials 16 07652 g006
Figure 7. Surface topography of Cu-Ni filled TSV annealed at (a) 200 °C, (b) 250 °C, (c) 300 °C, (d) 350 °C, (e) 400 °C, and (f) 450 °C. (g) Measured extrusion height of Cu and Cu-Ni filled TSVs at different annealing temperatures and (h) Schematic of TSV structure used [156].
Figure 7. Surface topography of Cu-Ni filled TSV annealed at (a) 200 °C, (b) 250 °C, (c) 300 °C, (d) 350 °C, (e) 400 °C, and (f) 450 °C. (g) Measured extrusion height of Cu and Cu-Ni filled TSVs at different annealing temperatures and (h) Schematic of TSV structure used [156].
Materials 16 07652 g007
Figure 8. Solder bumping methods for interconnection: (a) ball bumping, (b) electroplating, (c) paste printing, (d) dipping, and (e) jet injection.
Figure 8. Solder bumping methods for interconnection: (a) ball bumping, (b) electroplating, (c) paste printing, (d) dipping, and (e) jet injection.
Materials 16 07652 g008
Figure 9. Schematic comparison of Cu pillar bonding with paste printing, ball mounting, and solder injection on TSV [59].
Figure 9. Schematic comparison of Cu pillar bonding with paste printing, ball mounting, and solder injection on TSV [59].
Materials 16 07652 g009
Figure 10. Cu pillar bonding with nano-grained solder at 170 °C. (a) before bonding; (b) after bonding; (c) low-temperature bonding procedure.
Figure 10. Cu pillar bonding with nano-grained solder at 170 °C. (a) before bonding; (b) after bonding; (c) low-temperature bonding procedure.
Materials 16 07652 g010aMaterials 16 07652 g010b
Figure 11. Hybrid bonding flow diagram: Cu dishing control and direct Cu bonding method.
Figure 11. Hybrid bonding flow diagram: Cu dishing control and direct Cu bonding method.
Materials 16 07652 g011
Figure 12. Cu-Cu bonding using a 12 nm thick Ti film. (a) TEM image with Ti thin film deposited on Cu surface; (b) Schematic diagram of Ti and Cu diffusion behavior [298].
Figure 12. Cu-Cu bonding using a 12 nm thick Ti film. (a) TEM image with Ti thin film deposited on Cu surface; (b) Schematic diagram of Ti and Cu diffusion behavior [298].
Materials 16 07652 g012
Figure 13. Lattice distortion for elements, conventional alloys, and HEAs [311]. The different color spheres correspond to different alloy components (elements) in the lattice structure.
Figure 13. Lattice distortion for elements, conventional alloys, and HEAs [311]. The different color spheres correspond to different alloy components (elements) in the lattice structure.
Materials 16 07652 g013
Table 1. Research trends in the development of Cu-filled TSVs and functional layers.
Table 1. Research trends in the development of Cu-filled TSVs and functional layers.
S. No.TSV Width (µm)TSV Depth (µm)ARFunctional LayerCTE (10−6/K)Ref./Year
1100-- SiO2/TaN/Cu0.24/3.6/17.5[92], 2020
2131101:8.5SiO2/Ta/Cu0.24/6.6/17.5[93], 2020
311.5–30.292.7–128.11:8SiO2/TiN/Cu0.24/8.1/17.5[94], 2021
491411:15.7Polyimide/TiN/Cu40.9/8.1/17.5[95], 2021
53401:13.3SiO2/TiN/Cu0.24/8.1/17.5[96], 2021
65401:8Al2O3/Ti/Pt8.1/8.5/9.1[97], 2021
710501:5SiO2/Ti/Cu0.24/8.5/17.5[98], 2022
8101001:10SiO2/Ti/Cu0.24/8.5/17.5[99], 2022
Table 2. Typical parameters for various TSV technologies [115,116].
Table 2. Typical parameters for various TSV technologies [115,116].
ParameterVia (First)Via (Middle)Via (Last)
Diameter4 × 10−6 m4 × 10−6 m10 × 10−6 m
Pitch8 × 10−6 m8 × 10−6 m20 × 10−6 m
Length10 × 10−6 m60 × 10−6 m60 × 10−6 m
TSV resistance5.7 Ω0.9 Ω0.02 Ω
TSV inductance4.2 pH49.8 pH34.9 pH
TSV coupling capacitance1.2 fF6.7 fF6 fF
Table 3. Comparison of activation energies for the IMC formation between solder and Cu from previous studies.
Table 3. Comparison of activation energies for the IMC formation between solder and Cu from previous studies.
Joint CoupleMethodAging Temperature (°C)Q (kJ/mol)Ref, Year
Sn-16 wt.% Sb/CuDip soldering120–17068.27[236], 2019
Sn-58 wt.% BiLaser soldering85–115115.6[237], 2021
Sn-58 wt.% Bi-2 wt.% AgLaser soldering85–115138[237], 2021
Cu41 wt.% Sn11 wt.%/CuTLP bonding390–450108.19[238], 2022
Sn-Bi-In-Ag/CuReflow soldering120–16010.4[239], 2020
Sn-Bi-In/CuReflow soldering120–16011.1[239], 2020
Sn-0.075 wt.% CNT/CuReflow soldering130–17058.19[240], 2021
Sn-4 wt.% Ag-0.5 wt.% Cu/CuReflow soldering150–17565.6[241], 2021
Sn-4 wt.% Ag-0.5 wt.% Cu + 3 wt.% Bi + 0.05 wt.% Ni/CuReflow soldering150–17581.9[241], 2021
Sn-58 wt.% Bi/CuReflow soldering100–20048.22[242], 2021
Sn-58 wt.% Bi-0.1 wt.% Ti/CuReflow soldering180–20058.2[242], 2021
Sn-3.5 wt.% Ag/CuReflow soldering100–18065.9[233], 2022
Sn-3.5 wt.% Ag-0.007 wt.% graphene/CuReflow soldering100–18077.0[233], 2022
Sn-37 wt.% Pb/AuNi plated KovarReflow soldering120–17095.96[243], 2019
Sn-3 wt.% Ag-0.5 wt.% Cu/CuReflow soldering100–15057.71[234], 2022
Sn-3 wt.% Ag-0.5 wt.% Cu-0.1 wt.% TiO2 NPs/CuReflow soldering100–15069.32[234], 2022
Sn-3 wt.% Ag-0.5 wt.% Cu/CuReflow soldering85–15052[244], 2021
Sn-3 wt.% Ag-0.5 wt.% Cu-1 wt.% Kaolin/CuReflow soldering85–15074[244], 2021
Table 4. Solidus, liquidus, and solidification duration of important Sn-Bi-X solders.
Table 4. Solidus, liquidus, and solidification duration of important Sn-Bi-X solders.
Sn-Bi CompositionSolidus (°C)Liquidus (°C)Solidification Time (°C)Ref.
Sn-58 wt.% Bi130.21398.8[254]
Sn-58 wt.% Bi136.1139.13[255]
Sn-58 wt.% Bi136.1139.13[256]
Sn-58 wt.% Bi138.3139.31[257]
Sn-58 wt.% Bi139.3147.68.3[258]
Sn-58 wt.% Bi139.5147.68.1[259]
Sn-58 wt.% Bi139.4148.08.6[260]
Sn-58 wt.% Bi139.6147.47.8[261]
Sn-58 wt.% Bi140.3146.05.7[262]
Sn-58 wt.% Bi1381446[263]
Sn-45 wt.% Bi13815517[263]
Sn-40 wt.% Bi13816830[263]
Sn-35 wt.% Bi13817840[263]
Sn-55 wt.% Bi13818648[263]
Sn-58 wt.% Bi-0.5 wt.% Ti138.9142.73.8[264]
Sn-58 wt.% Bi-1 wt.% Ti139.1143.44.3[264]
Sn-58 wt.% Bi-0.1 wt.% Ag136.2139.73.5[255]
Sn-58 wt.% Bi-0.5 wt.% Ag135.7138.22.5[264]
Sn-58 wt.% Bi-0.5 wt.% Ag-0.1 wt.% Ce136.6139.12.5[255]
Sn-58 wt.% Bi-0.5 wt.% Ag-0.1 wt.% La136.6139.12.5[255]
Sn-58 wt.% Bi-1 wt.% Ag1371425[264]
Sn-58 wt.% Bi-1 wt.% Ag-1 wt.% In1331374[264]
Sn-58 wt.% Bi-1 wt.% Ag-3 wt.% In1251338[264]
Sn-58 wt.% Bi-2 wt.% Ag139.1145.46.3[261]
Sn-58 wt.% Bi-2 wt.% Ag-2 wt.% In131.21364.8[261]
Sn-58 wt.% Bi-2 wt.% In129.81355.2[261]
Sn-58 wt.% Bi-3 wt.% In119.9140.520.6[258]
Sn-58 wt.% Bi-0.05 wt.% Co140.31476.7[262]
Sn-58 wt.% Bi-0.5 wt.% Co140.11454.9[262]
Sn-40 wt.% Bi-0.1 wt.% Cu125.1132.27.1[254]
Sn-40 wt.% Bi-2 wt.% Zn-0.1 wt.% Cu127.7136.38.6[254]
Sn-52 wt.% Bi-1.8 wt.% Sb140.6152.011.4[260]
Sn-44 wt.% Bi-1.8 wt.% Sb141.9180.538.6[260]
Sn-48 wt.% Bi-1 wt.% Sb140.6168.728.1[260]
Sn-48 wt.% Bi-2 wt.% Sb142.3169.727.4[260]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Jang, Y.J.; Sharma, A.; Jung, J.P. Advanced 3D Through-Si-Via and Solder Bumping Technology: A Review. Materials 2023, 16, 7652. https://doi.org/10.3390/ma16247652

AMA Style

Jang YJ, Sharma A, Jung JP. Advanced 3D Through-Si-Via and Solder Bumping Technology: A Review. Materials. 2023; 16(24):7652. https://doi.org/10.3390/ma16247652

Chicago/Turabian Style

Jang, Ye Jin, Ashutosh Sharma, and Jae Pil Jung. 2023. "Advanced 3D Through-Si-Via and Solder Bumping Technology: A Review" Materials 16, no. 24: 7652. https://doi.org/10.3390/ma16247652

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop