Next Article in Journal
Dynamic Equivalent Model Considering Multiple Induction Motors for System Frequency Response
Next Article in Special Issue
Resonant Mechanism for a Long-Distance Wireless Power Transfer Using Class E PA and GaN HEMT
Previous Article in Journal
Generation of a Typical Meteorological Year for Global Solar Radiation in Taiwan
Previous Article in Special Issue
Flyback Converter Using a D-Mode GaN HEMT Synchronous Rectifier
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Perspective

Recent Developments and Prospects of Fully Recessed MIS Gate Structures for GaN on Si Power Transistors

by
Pedro Fernandes Paes Pinto Rocha
1,2,
Laura Vauche
1,
Patricia Pimenta-Barros
1,
Simon Ruel
1,
René Escoffier
1 and
Julien Buckley
1,*
1
Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France
2
Univ. Grenoble Alpes, CNRS, CEA/LETI-Minatec, Grenoble INP, Institute of Engineering and Management University Grenoble Alpes, LTM, F-38054 Grenoble, France
*
Author to whom correspondence should be addressed.
Energies 2023, 16(7), 2978; https://doi.org/10.3390/en16072978
Submission received: 30 January 2023 / Revised: 2 March 2023 / Accepted: 22 March 2023 / Published: 24 March 2023
(This article belongs to the Special Issue Advances in Wide Bandgap Technologies for Power Electronics)

Abstract

:
For high electron mobility transistors (HEMTs) power transistors based on AlGaN/GaN heterojunction, p-GaN gate has been the gate topology commonly used to deplete the two dimensional electron gas (2-DEG) and achieve a normally-OFF behavior. But fully recessed MIS gate GaN power transistors or MOSc-HEMTs have gained interest as normally-OFF HEMTs thanks to the wider voltage swing and reduced gate leakage current when compared to p-GaN gate HEMTs. However the mandatory AlGaN barrier etching to deplete the 2-DEG combined with the nature of the dielectric/GaN interface generates etching-related defects, traps, and roughness. As a consequence, the threshold voltage (VTH) can be unstable, and the electron mobility is reduced, which presents a challenge for the integration of a fully recessed MIS gate. Recent developments have been studied to solve this challenge. In this paper, we discuss developments in gate recess with low impact etching and atomic layer etching (ALE) alongside surface treatments such as wet cleaning, thermal or plasma treatment, all in the scope of having a surface close to pristine. Finally, different interfacial layers, such as AlN, and alternative dielectrics investigated to optimize the dielectric/GaN interface are presented.

1. Introduction

The major challenge of power electronics today is dealing with the need for high conversion efficiency and reliability, and at the same time, the constant pursuit of cost and size reductions, with low environmental impacts. Replacing power devices made of silicon semiconductor material by power devices made of wide bandgap semiconductor materials such as gallium nitride (GaN) allows for smaller size, cost reduction, and higher switching speed at the power system level, thanks to better GaN intrinsic physical parameters. Noticeably, the AlGaN/GaN heterojunction allows to get a high mobile electron layer and to design GaN FETs such as HEMTs which offers high power density and high switching frequency.
GaN transistors are faster and smaller than silicon MOSFETs thus leading to increased power density in chargers and adapters as well as high efficiency due to reduced conduction and switching losses. At the moment, the market of GaN power transistors with a positive threshold voltage (VTH), or normally-OFF, is completely dominated by p-GaN gate architecture (proposed by EPC, GaN Systems, Panasonic for instance). Typical Mg concentrations used in p-type GaN layer are around 1 × 1019 cm−3 [1], the Mg saturation being as high as 8.9 × 1019 cm−3 [2]. However, since acceptor levels from Mg are in the range of 150–200 mV from the valence band, ionization of Mg is limited to a fraction of its concentration. However, the p-GaN gate architecture has intrinsic limits with respect to its silicon power MOSFET counterparts. Because of the p-GaN gate topology, VTH is generally limited to 1.5 V and the typical recommended gate drive is in the range of 0 V to 6 V or −3 V to 6 V for high current hard switching applications. Metal–insulator–semiconductor gate (MIS Gate) GaN transistors could give greater freedom in terms of gate driving voltage as a result of both increased threshold voltage and larger range in gate voltage swing. Alongside power applications, MIS Gate GaN transistors can be implemented for RF [3], gas sensing [4], and LiDAR [5]. Considering the benefits of a MIS Gate, the fully recessed MIS (metal insulator semiconductor) gate stack has gained increasing interest during the last few years for the development of normally-OFF lateral [6], pseudo-vertical [7], and vertical GaN on Si [8] power transistors. This structure is described in the literature by different names such as MOS-HEMT and MIS-FET which are also used to describe partially recessed MIS gates that allow us to obtain a normally-OFF transistor as well. In order to specifically describe the fully recessed MIS gate GaN HEMT, we chose to name it by MOS-channel-HEMT or MOSc-HEMT. Combining the benefits of a MIS gate, its interest comes from the fact that it allows to have a device with a positive threshold voltage by cutting the 2-DEG, low gate leakage current and high gate voltage swing. The MOSc-HEMT also enables a more stable VTH than partially recessed MIS gate since the latter suffers from etching thickness variability affecting the VTH [9]. The mentioned topologies as well such as the fluorine implanted gate and the cascode configuration are represented in Figure 1.
To get the full benefits of the fully recessed MIS gate, it is required to optimize the insulator–semiconductor interface in order to achieve good mobility and low interface trapping states. It is equally essential to tune the insulator properties in view of limiting or controlling its charge. For this reason, several process steps have been studied showing both the impact of AlGaN/GaN recess, cleaning, and dielectric deposition. The different defects at the MIS gate are represented in Figure 2.
Overall, these studies have been carried on either transistors or simple planar capacitors. In the case of transistors, parameters such as VTH, its hysteresis (ΔVTH), and channel mobility can be measured. But for simple planar capacitors, flat band voltage (VFB), its hysteresis (ΔVFB), and density of interface states (Dit) are usually studied to evaluate the properties of the dielectric/GaN interface. If the correspondence between results from transistors and planar capacitors can be established, with deeper recess depth, the impact of sidewalls is no longer negligible and needs to be taken into account. Moreover, planar capacitors will have an intentional n-doping in order to provide free carriers for positive voltage swing of C–V measurements, whereas transistors will have an unintentional doped (UID) GaN layer. Alongside electrical characterization, physical parameters such as roughness, presence of impurities and defects, and oxidation have been measured using different characterization techniques as AFM, XPS/HAXPES, and ToF-SIMS. Studying the physical parameters allows us to better understand the critical dielectric/GaN interface. Hence, combining both electrical and physical characterization has been proven important to better investigate the MIS gate and improve it.

2. Manufacturing Processes from Gate Recess to Surface Preparation

2.1. Gate Recess by Dry Plasma Etching

Etching is necessary to achieve a fully recessed gate structure in order to remove the passivation layers, the AlGaN barrier layer and a part of the GaN channel.

2.1.1. Reactive Ion Etching ICP-RIE

Reactive ion etching (RIE) is a form of dry plasma etching involving a mixture of different elements:
  • Reactive and nonreactive ions;
  • Reactive neutral species;
  • Passivating species;
  • Electrons;
  • Photons.
The positively charged ions are guided towards the wafer surface through an applied negative bias on the wafer, and their acceleration is normal to the wafer surface. Alongside the neutral species present in the plasma, the positive ions work in unison to etch the wafer surface.
For wide bandgap semiconductors, the application of high-density plasma in etching processes such as electron cyclotron resonance (ECR), inductively coupled plasma (ICP), and magnetron RIE was proven to achieve better etching characteristics than simple RIE. The reason for this enhancement can be explained by the higher plasma density, which is typically two orders of magnitude higher than RIE (1011–1012 cm−3 against 109–1010 cm−3). This increased plasma density leads to a higher efficiency in breaking bonds for these strongly bonded semiconductors and facilitates the removal by sputtering of etching byproducts formed on the surface [11].
The use of inductively coupled plasma (ICP) reactors allows the separate control of the plasma density (chemical part of the etching, controlled with inductance power) and the bombardment energy (physical part of the etching, controlled with bias voltage); unlike capacitance coupled plasma (CCP) reactors where the plasma density and bombardment energy are controlled together.
GaN is usually etched using fluorine-based or chlorine-based plasmas. ICP-RIE etching of GaN using these plasmas cannot be purely chemical at room temperature because of the formation of nonvolatile etching byproducts such as GaFx (Teb = 1000 °C) with fluorine-based chemistries (e.g., SF6) or AlCl3 (Teb = 183 °C) and GaCl3 (Teb = 201 °C) with chlorine-based chemistries (e.g., Cl2) [11]. Therefore, the physical bombardment should be sufficiently strong to remove these byproducts and to expose the surfaces for further etching, for instance using Ar or N2 in combination with SF6 [12] or Ar in combination with Cl2 [13]. Addition of noble gases and energetic-ion sputtering in ICP also improves the etching both by initially breaking the stable Ga–N bond and by preferentially sputtering the nitrogen atoms, which results in a Ga-rich GaN surface [14]. XPS measurements of the GaN surfaces etched by SF6 and Cl2 indicate, respectively, the presence of F and Cl on the etched GaN surface [15]. Worse on-state characteristics were observed with SF6 etching, assumed to be due to deterioration of the negative VTH with F [15]. Moreover, since Cl-based byproducts are more volatile, the etching process is faster. Therefore, etching of GaN using chlorine-based plasma is preferred to fluorine-based plasma.
Gallium oxide can be present at the GaN surface or may form during the etching process since the etching chamber can contain small amounts of oxygen (chamber walls, mask layers on the wafer). BCl3 is helpful for gallium oxide removal as BCl2+ ions dissociated from BCl3 are good Lewis acids with strong oxide affinity, leading to the formation of BClxOy (g) [16]. Therefore, etching of GaN is usually performed using Cl2 and BCl3 chlorine-based plasma. By increasing the bias power, the physical component of the etch process is enhanced, as confirmed by linear correlation between ICP bias power variation and AlGaN, GaN, and AlN etch rate [17].
The use of other chemicals such as SiCl4 have been reported to tune the profile shape, for instance SiCl4 passivates the sidewalls by formation of SiOx and SiNx, leading to a more vertical profile [18].
Continuous dry etching on GaN has fast etch rates, but the surface damage as shown in Figure 3 (composition/roughness), the high variability and the non-uniformities at wafer and die level are, at present, the three main shortcomings [19,20].

2.1.2. Plasma Induced Damage

The device’s performance can be seriously degraded due to the creation of plasma-induced damage, which occurs as a result of ion bombardment and exposure to ultraviolet (UV) photons during plasma assisted etching. Plasma-induced damage includes the following defects:
  • Lattice defects generated from energetic ions. These defects typically exhibit deep level states behavior and thus produce compensation, trapping, or recombination in the material. Due to channeling of the low energy ions that strike the sample, and rapid diffusion of the defects created, the effects can be measured as deep as 1000 Å from the surface, even though the projected range of the ions is only <10 Å [22];
  • Atomic hydrogen unintentional passivation of dopants. The hydrogen may be a specific component of the plasma chemistry, or may be unintentionally present from residual water vapor in the chamber or from sources such as photoresist mask erosion. The effect of the hydrogen deactivation of the dopants is a strong function of substrate temperature, but may occur to depths of several thousand angstroms [22];
  • Polymeric film deposition through plasma chemistries involving CHx species, or through reaction of photoresist masks with Cl2-based plasma [22];
  • Nonstoichiometric induced surfaces by selective removal of specific lattice elements. This can occur because of strong differences in the volatility of the respective etch products, leading to enrichment of the less volatile species, or by preferential sputtering of the lighter lattice element if there is a strong physical component to the etch mechanism. Typical depths of this nonstoichiometry are <100 Å [22].
In the case of AlGaN/GaN etching, various studies have shown that higher ICP bias power create ions with higher energy, ultimately leading to stronger ion bombardment damage [23], several GaN material damage or degradation of the devices performance [20,23,24,25,26,27,28,29]. The characterizations methods for dry plasma induced damage in AlGaN/GaN materials and devices are reported in Table 1.
Reported electrical damage depth ranges from >10–20 nm [20,25] to 40 nm [38] or 50–60 nm [22,25]. From the AES electron spectrum of oxygen profile, surface damage was limited to the top few hundred angstroms [39].
One proposed explanation for the degradation of device performance is the introduction of donor levels, such as VN, caused by dry-etching. These donor levels results in a n-GaN surface [32], which may contribute to the low VTH since applying negative gate voltages is necessary to deplete the n-GaN surface donor layer and cut off the channel. As a matter of fact, under threshold conditions, some of these n-type defects become ionized and depleted, resulting the generation of positive ionized space charges. Therefore, the key factor for achieving normally-OFF operation in a fully dry-recessed MIS gate HEMT is the removal or recovery of the damaged layer (i.e., providing sufficient nitrogen to eliminate the VN) [29].
Among the different approaches proposed to overcome the plasma induced damage issues are:
  • Reduction or elimination of the source of damage through use of etching methods without plasma or with low ICP bias power;
  • Recovery of the damaged layer;
  • Removal of the damaged layer.
The use of etching methods without plasma is a way to avoid damage. Photo-electrochemical (PEC) etching through photo-assisted anodic oxidation is interesting for nitride semiconductors since the high thermal and chemical stability hinders the use of typical wet chemical etching. The necessary amount of photo-induced holes is regulated by choosing the relevant wavelength λ and anodic bias [40]. The holes are generated at the anode present at the GaN/electrolyte interface, specifically where electrons are given off to the outside circuit. These holes then break down GaN into Ga3+ ions. The latter reacts with the electrolyte and results in the formation of Ga2O3 which dissolves in acid or base (H3PO4 and KOH [41] or H2SO4/H3PO4 mixture [42]). Finally, the etching depth is proportional to the total etching current [43].
The use of etching methods with low bias plasma is another way to reduce the damage.
In that matter, atomic layer etching (ALE) is an interesting solution to reduce the dry-etching surface damage, which mitigates device performance and electron mobility, and to accurately control the etching depth. ALE, with low etching rates, can be used directly to remove the AlGaN/GaN layers (full ALE) or after conventional ICP-RIE etching with higher etching rate. The combination of ICP-RIE with ALE was reported to reduce etching damage, evaluated by the reduction of the sheet resistance Rsheet in Figure 4.
However, it has also been reported that UV photon damage in ALE was larger than in RIE owing to the longer plasma irradiation time [31]. It has been found that the ALE photon-induced damage can be mitigated by a post etch anneal process at temperatures which are compatible with the overall thermal budget of a GaN power transistor process flow [44].
In a similar approach and more recently, multistep etching with decreasing bias and post-etching anneal has been proposed to reduce the damage [18,45].
Also, a neutral beam etching (NBE) system using the neutralization of negative ions has been proposed by Lin and co-workers in order to eliminate UV photon irradiation [46].

2.1.3. GaN Atomic Layer Etching (ALE) or Digital Etching

The ALE mechanism consists of two sequential steps: surface modification (reaction A) and the removal of the modified surface (reaction B). The modification step creates a thin modified layer on surface with a specific thickness, which is easier to remove than the unmodified material. This modified layer has a distinct change in physical structure and/or in composition with a defined gradient.
The surface modification (reaction A) can occur through:
  • Chemisorption of species on the surface, which weakens the material bonds underneath (e.g., chlorination of GaN by Cl2);
  • Deposition of a reactive layer on the surface;
  • Conversion of first layers into another material (e.g., oxidation of GaN);
  • Selective extraction of a specific species.
During the removal step (reaction B), the modified layer is removed while maintaining the integrity of the underlying substrate. This step allows the surface to be “reset” to a pristine or almost pristine state for the subsequent ALE cycle [20]. The ALE mechanism is represented in Figure 5:
III-V and III-N materials are usually etched by directional ALE using a combination of chlorination and particle bombardment [20]. Chlorine mostly reacts with the surface and metallic Ga atoms to form Ga chlorides [14]. The removal of the chlorinated layer has been investigated by the bombardment of Ar or He ions [35,36]. Several teams have reported on Cl2/Ar based ALE for GaN etching, achieving etch rates from 0.13 to 0.50 nm/cycle [35,36,44,47,48,49,50] and surfaces as smooth as the as-grown sample. The presumed etching by-products are GaCl3(g) and N2(g) [49].
Cl2/Ar ALE parameters such as DC bias voltage during the Ar step, duration of each step, pressure during each step and gas ratio can be optimized in order to etch in the “ALE window” where there is no background etching during reaction A and only the modified layer by reaction A is removed by reaction B (no additional sputtering) [44,48,49]. A 2D fluid model is useful to determine the flux of reactive species, radicals and ions included, which arrive at the wafer surface. This flux can be calculated as a function of chlorine content, gas pressure, and RF power, showing a strong correlation between the chemical nature of the etching process and the rise in atomic chlorine flux [51]. Ar bombardment leaves Ga atoms with dangling bonds, leading for a low energy bombardment of 100 eV to a ~25Å amorphous layer enriched in Ga [52], for 400 eV to a Ga-rich surface layer [53,54], for 1 keV to Ga nanodroplets [55], for a high-energy bombardment of 2.5 keV to a metallic Ga layer [53,54]. Simultaneously, a portion of nitrogen is moved to interstitial position, forming split-interstitial defects [54]. This Ga-rich surface was associated with lower Ga 3d binding energy [55], increase in the downward band bending (nitrogen vacancies act as donors), and pinning of the surface Fermi level closer to the conduction band [56].
An alternative to chlorination for the surface modification step is the use of a bromine-based chemistry (HBr) that allows reduced Ar plasma power during the bombardment step [44].
An alternative to particle bombardment for the removal step is the thermal desorption of Ga chlorides at temperatures higher than 223 K [14].
Another ALE of III-V and III-N materials is a combination of oxidation of AlGaN/GaN by oxygen-based plasmas or by wet chemistries followed by removal of oxide by chlorine based plasma etching or wet etching, also called digital etching. This technique can also be used to remove unwanted native oxide/contamination and disordered gallium oxide/aluminum oxide residue. The oxidation step can be achieved by exposure to a low power O2 plasma [19,57,58,59,60,61,62,63], to N2O plasma [64], or to wet solutions such as H2SO4/H2O2 [65]. Increased GaN surface roughness has been reported, attributed to the locally improved oxidation around the dislocations. The pinholes, which are likely caused by dislocations, were significantly enlarged as the recess depth increases [66]. Removal of the oxide layer can be achieved using a low-power BCl3 oxide etch step [67,68,69], which resembles a self-limiting process, due to the fact that BCl3 etches the oxidized GaN layer much faster than unoxidized regions. This difference in etch rate is explained by BxCly deposited layer on unoxidized GaN. After etching, this deposited layer can be easily removed by a stripping process [69]. Removal of the oxide layer can also be achieved by submerging the wafer into a wet HCl acid bath [19,20,57,58,59,61,64,65,70]. Thanks to the high oxidation selectivity ratio of GaN and AlGaN [71,72,73,74], TMAH and KOH are reported for selective etching of oxidized AlGaN and AlN, whereas GaN plays the role of etch stop layer [73,74,75]. GaN cap layer can be used as a recess mask [71].
In conclusion, etching of AlGaN/GaN is mandatory in order to obtain a recessed gate architecture. However, traditional ICP-RIE processes creates damage, which can alter the electrical performance. The following challenges arise:
  • Proper characterization of the damage, correlation with electrical damage (which depends on the target device);
  • Developments of damage-free or reduced damage etching processes, compatible with an industrial process (wafer size, throughput);
  • Process integration and choice of mask that enable the use of damage-free or reduced damage etching processes;
  • Adapted stripping that removes masks and etching residues.
Cyclic processes such as ALE show good repeatability and etch depth control with lower electrical degradation than ICP-RIE processes, reducing the damage. Other approaches include recovery or removal of the damaged layer, which can be performed by various GaN surface treatments.

2.2. Cleaning or Surface Preparation by Wet, Thermal or Plasma Treatments

The surfaces to clean are the GaN gate bottom, which is a polar (0001) Ga-face c-plane and the gate cavity AlGaN and GaN sidewalls. If the gate cavity had 90° sidewall angles, they could be non-polar m-planes and a-planes, depending on the orientation, due to the Wurtzite GaN crystal structure (hexagonal symmetry), as shown in Figure 6.
The contamination layer of air-exposed GaN is usually ~2–5 nm thick. About half of this contamination consists of transparent inorganic and organic species. The rest is presumed to be native oxide [76]. Wurtzite GaN surfaces are very active towards the adsorption of oxygen. The presence of dangling bonds at the GaN surface makes the surface reactive to a wide range of impurities, leading to higher impurity concentration on GaN than on Si [77].
GaN surface preparation aims at:
  • Reducing the surface contamination (particulate, metallic, and chemical: native oxides, carbon and other);
  • Not damaging the crystal structure nor introducing additional defect states;
  • Removing the etch-induced damage;
  • Smoothing the GaN surface;
  • Improving the nucleation of the dielectric layer (for instance atomic layer deposited or ALD Al2O3).
Ex-situ cleaning includes solvents, various acid and bases, as well as UV/O3. In situ cleaning includes room temperature or high temperature plasma, sputtering and vacuum or gas annealing [76]. A summary of all the treatments discussed in this paper can be found in Table 2.
Various wet cleaning sequences have been reported in the literature. After oxygen removing wet treatments, such as HF, the presence of a Ga–O bonds indicates the presence of a native oxide. It suggests a reoxidation (estimated to 0.5 Å) forming a GaOx layer during surface exposure to ambient air prior to XPS measurement or during wet treatments (HF and/or DI water rinse) [101]. This further confirms the strong oxygen affinity of a clean GaN surface. The presence of a GaOx layer is problematic, with increased hysteresis and interface states [117,118,119]. In situ NH3/Ar/N2 plasma sequence has been reported for the removal of the surface native oxide [120] and formation of a nitridated inter-layer (NIL) prior to the gate dielectric deposition as seen in Figure 7. This treatment is sometimes labeled as remote plasma pretreatment (RPP). The NH3-Ar plasma is used as a cleaning step to remove the native oxide. The subsequent N2 plasma allows to nitride the surface (Ga dangling bonds passivated and possible VN compensated), resulting in a NIL on the III-N surface [117,121,122]. Other plasma sequences such as H2/NH3 cycles [123], a sequence of NH3 and N2 [124] or N2/H2 [125] have been reported before ALD of AlN, SiNx, or epitaxy, leading to a decrease in hysteresis, a reduction of the ON resistance, and also a decrease in VTH [124].
Thus, it seems that a combination or a sequence of different treatments is the most suitable in order to achieve all the goals of the surface preparation [126]. Also, for a same surface preparation process, the surface states depend on previous processing, such as dry etching conditions, and therefore, the surface preparation should be adapted to the specificities of the material and overall process flow. For instance it was found that the Ga oxidation states at Al2O3/GaN interface were higher for etched samples than for non-etched samples [91] or that increased trapping occurred for etched GaN [127]. The higher oxidation state when reduced with the appropriate wet cleaning sequences was proven to increase VTH (Figure 8)
In addition, as stated in the Introduction, there is still a limited understanding of the impact of the gate cavity morphology, since most of the studies reported here focus on planar structures formed on the c-plane (corresponding to the bottom of the gate). We have seen that anisotropic GaN etchants such as tetramethylammonium hydroxide (TMAH), potassium hydroxide (KOH), or H3PO4 impact trench sidewall morphology [78,85,86,128]. For instance TMAH etches any plane of GaN, except for the (0001) c-plane, while it etches m-plane at a considerably lower rate than other semi-polar planes allowing, for instance, it to achieve rough a-plane oriented sidewall (composed of microscopic m-faces) and smooth m-plane oriented ones [78,79]. In the few studies carried out on different non-polar planes, it is clear that GaN crystallographic orientation impacts the effect of surface treatments on electrical characteristics [79,112,129,130,131] or that sidewall formation has a significant impact on device performance (in comparison with a planar etched structure) [127]. As a consequence, is it crucial to improve the understanding of how the GaN crystal orientation and 3D gate cavity with sidewalls formation impacts recessed gate devices’ performance.
Finally, selective area regrowth faces some similar challenges with the deposition of a dielectric in a recessed GaN cavity (such as in MOSc-HEMTs): negative impact of the dry etching [98], exposure to air, difference between trench bottom and sidewalls, and incorporation and activation of the Mg dopant. Therefore, similar characterization techniques can be applied to study these impacts [132]. But regrown GaN is still promising to recover dry etching plasma-induced damage [133,134], and it can be combined with in situ dielectric deposition which allows an increase in electron mobility (OG-FET device reported in [135]).

3. Interface and Dielectric Materials

3.1. Interface

Since the growth of a thick native gallium oxide, similar to SiO2 from Si, is difficult [136], the deposition of a dielectric layer has been necessary to form a MIS gate. However, due to the defective interfacial GaOx and the lattice mismatch at the dielectric/GaN interface, this interface needs to be controlled to have the desired device properties.
In the case of GaOx, reducing the low-quality interfacial oxide was presented in the previous section with wet cleaning and plasma treatments, notably with the NIL plasma treatment. This technique is important since, as stated before, GaOx is not reduced with HF [101] nor after dielectric deposition such as Al2O3 [137]. Another solution is to create a high-quality interfacial gallium oxide. Oxidation by exposing the surface to ozone was found beneficial for AlGaN/GaN devices with reduced hysteresis [138]. More specifically, oxidation by O2 plasma combined with a high temperature anneal under N2 and prior SiNx deposition by low-pressure chemical vapor deposition (LPCVD) was studied [139,140,141]. The result is a GaON layer formed at the interface between SINx and the recessed GaN surface. With the GaON layer, a VTH of 1.15 V and a reduced hysteresis of 0.2 V is reported [139]. Reduced hole injection under negative stress is also observed, the high valence band offset between GaON and GaN explaining this improvement [140,141]. GaON can also be formed with a N2O plasma [142,143,144], reducing the damage from the LPCVD SiNx deposition process [143] and increasing electron mobility [144]. With a non-recessed gate structure, an AlGaON layer formed by controlled oxidation with N2O plasma through a thin Al2O3 layer was reported in [145,146]. An increased VTH was observed with a small hysteresis correlated to the oxidation step [145].
A thin interfacial AlN can be directly deposited before the dielectric to improve the interface quality due to its lower lattice mismatch with GaN with respect to Al2O3 [147]. Moreover, AlN is known to form a passive oxide layer, when exposed to air. For this reason, AlN deposition is typically followed by in situ dielectric deposition without air exposure. When applied on both GaN’s c-plane (polar surface) and m-plane (non-polar surface) before ALD Al2O3, AlN reduces the VFB hysteresis and the interface states, especially at the non-polar surface [130]. Specifically for MOSc-HEMT, a reduction of VTH hysteresis from 800 mV to 65 mV is obtained with a 2 nm PEALD AlN interfacial layer at the Al2O3/GaN interface, as well as a lower density of interface states [148]. By combining the NIL process and the AlN deposition, the improvement in terms of hysteresis is similar but a lower density of interface states is observed and a greater separation between defects on Al2O3 and GaN is obtained [119,149]. With an AlSiO dielectric, AlN improves the electron mobility by confining the electrons at the interface [150] and allows reduced Ga diffusion into the dielectric after high temperature post-deposition annealing (PDA) at 950 °C [151].
In conclusion, two ways of treating the interfacial GaOX are possible; either reducing it or improving/growing the interfacial oxide with fewer defects. Specific AlN interfacial layer deposition before thicker dielectric deposition leads to increased mobility, lower hysteresis, and lower density of states. Since the direct deposition of oxides on GaN maintains the interfacial GaOx, combining its removal with an AlN layer represents a promising solution to keep a low oxidation state at the interface, improve electron mobility, and reduce VTH hysteresis.

3.2. Dielectric

Another essential aspect of the MIS gate is its dielectric. The considered properties are [152]:
  • Larger band gap than GaN in another to have a conduction offset higher than 1 eV;
  • Layer without grain boundaries;
  • High relative permittivity and high breakdown voltage.
A summary of dielectrics, their band lineup with GaN, and their dielectric constant are represented in Figure 9. As the high-κ dielectric band gap tends to decrease with the dielectric constant, there is a limitation in the choice of candidates. Frequently used dielectrics are SiO2, SiNx, and Al2O3. Annealing after deposition or after metallization is equally important to improve the dielectric/GaN stack.
SiO2 has a high gap (~9 eV) but a low relative permittivity (~3.9) [157,158]. Its large gap strongly reduces leakage current, and its thermal stability allows the use of high thermal budgets (>800 °C). However, Ga diffusion into SiO2 can lead to increased leakage current as well as reduced breakdown voltage [132]. A negative shift of VFB at high PDA temperature (800 °C) was also reported and attributed to the formation of VO after hydrogen reduction with interfacial GaOx [133].
SiNx has a lower gap (~5.5 eV) and a higher relative permittivity (~7.5) [157,158]. The VN passivation with SiNx leads to a lower reported density of interface states [134,135]. However, because of its small gap, gate leakage may be, in certain cases, too high [136].
Al2O3 has a high gap (~7 eV) and relative permittivity (8~10) [157,158]. Regarding the interface, Dit values ranging from 1011 to 1013 cm–2∙eV–1 are found in the literature depending on the deposition and treatment before or after Al2O3 formation [159,160,161]. However, amorphous Al2O3 has a lower thermal stability than SiNx and SiO2. Crystallization typically occurs at about 800 °C [160] on as-grown GaN and a beginning of crystallization can be observed at 600 °C on etched GaN [162]. When PDA is applied, usually between 400 °C and 800 °C, a general increase of VTH/VFB is reported [163,164,165,166], VTH hysteresis is reduced [167] and electron mobility is increased [137,163,166]. These improvements can be related to the reduction of positive charges in both GaOx [152,166] and Al2O3 [165], or to the reduction of interface states [164,167,168].
With the respective limitation of commonly used dielectrics, one approach is to develop ternary alloys to benefit from their specific properties.

3.2.1. Al2O3 and AlN Alloys for Improved Thermal Stability and Lower Electron Trapping: AlON

AlON is an example of such an alloy, consisting of a mixture between Al2O3 and AlN, and having many advantages compared to Al2O3 and AlN. It can be deposited by different techniques:
  • Sputtering of an Al source with a flow of O2 and N2 [169];
  • Nitriding ALD Al2O3 with N2 plasma [170,171];
  • ALD with trimethylaluminium (TMA) and N2/O2 precursors [172];
  • ALD nanolaminates of Al2O3 and AlN [173,174];
  • Oxidation of ALD AlN with O3 [175,176].
In terms of advantages, the introduction of nitrogen into the Al2O3 matrix reduces current leakage by both passivating VO defects [177] and increasing the electron barrier height between AlON and GaN [171], although AlON’s band gap was reported to be smaller than Al2O3 [169,175]. The passivation of these defects could explain the reduction in hysteresis and electron injection reported for AlON in the literature [169,171,173,175,177,178]. Nozaki and co-workers indeed reported a reduction in electron injection with increasing nitrogen concentration, whereas Kang and co-workers reported a reduced VTH instability under positive bias stress (Figure 10a). Furthermore, the presence of nitrogen induces the presence of negative fixed charges allowing VFB to increase [170,173]. With a fully recessed MIS gate HEMT, a similar VTH of ~2.25 V is found for AlON and Al2O3 [171]. According to an ab initio study by Choi and co-workers, the introduction of nitrogen into crystalline Al2O3 induces negative fixed charges for n-type doped GaN [179]. However, according to Guo and co-workers, these nitrogen defects are absent in the amorphous Al2O3 gap. Thus the negative charges introduced by these defects are also absent [180].
Regarding the interface, AlON reduces interface states mostly for defect energy level from the conduction band or EC–ET higher than 0.35 eV, possibly through the reduction of GaOx at the interface [169,171,173,178]. With a better interface than Al2O3/GaN, field effect mobility (μFE) for MOSc-HEMT with AlON increases by ~19% up to 235 cm2∙V−1∙s−1 (Figure 10b) [171].
However, while AlON has better immunity to electron injection, Hosoi and co-workers [181] reported a hole injection which could be problematic in the case of negative stress. These hole traps would be explained by the existence of defects in the N2p orbital near the AlON’s valence band [182].
With the incorporation of nitrogen, AlON also benefits from a higher thermal stability up to 800 °C in PDA [169]. As such, the high temperature PDA or post-metallization annealing (PMA) allows increased VFB and reducing its hysteresis [170,178]. However, few studies reported the impact of different PDA temperatures.

3.2.2. Al2O3 and SiO2 Alloys for Improved Thermal Stability and Lower Electron Trapping: AlSiO

As well as AlON, AlSiO is a ternary alloy of Al2O3 and SiO2, combining the higher relative permittivity of Al2O3 (~9) with the higher band gap of SiO2 (~9 eV). It can be deposited by different techniques:
By increasing the silicon concentration, the conduction band offset between AlSiO and GaN increases, reducing the leakage current [188,189]. The incorporation of silicon reduces the hysteresis [184,185,186,187] with Sayed and co-workers reporting a reduction in hysteresis for MOCVD AlSiO with increasing silicon percentage up to 46% [187]. However, they also describe a negative hysteresis for a percentage equal to 76%, possibly originating from mobile charges. Concerning VFB, the impact of silicon content differs in the literature. Komatsu and co-workers reported an increase in VFB for a silicon content of 29% [184], Gutpa and co-workers reported a reduction in VFB [186], and Kikuta and co-workers reported a small correlation between VFB and silicon content [188]. These differences could be due to the introduction of either positive or negative charges, or the different deposition methods used. More recently, Smith and co-workers reported an increase of VTH with increasing Si content, this effect magnified with AlN interfacial layer [150]. Furthermore, by ab initio simulation, Chokawa and co-workers described a lower formation energy of VO defects when the silicon concentration increases [192]. Unlike VO defects that would be electrically active (VO2+) in Al2O3 [193], these vacancies surrounded by silicon are electrically inactive. However, the transition energy (2+/0) for VO defects is approximately at 2.8~3.5 eV from the alumina’s valence band (1.9~2.6 eV from GaN’s valence band if the valence band off-set is considered to be 0.9 eV) [193,194]. In the case of n-doped and UID GaN, VO defects would already have a neutral charge state. For p-doped GaN, this defect can be a source of hole leakage [194].
Finally, regarding the interface between AlSiO and GaN (0001) (or Ga-Face), the absence of dangling bonds is observed by ab initio simulation and explained by oxygen migration from the dielectric to the interface [195]. Thus, a low Dit is observed when compared to Al2O3/GaN (0001) interface [185,186]. In the case of p-MOSFET, the extracted μFE was found to be around 27.7–36.2 cm2∙V−1∙s−1 [191]. Similar to AlON, the incorporation of Si increases the thermal stability with respect to Al2O3 [185,190].
A study of PDA on AlSiO with Si content of 22% was carried out by Kikuta and co-workers by annealing from 650 °C to 1050 °C under N2 for 10 min [190]. A reduction in hysteresis and in VFB drift under positive stress for a PDA higher than 650 °C was observed (Figure 11). For a PDA above 850 °C, a plateau on the I–V characteristic related to electron trapping is reduced. Contrary to Al2O3, AlSiO presents no sign of crystallization for a PDA at 850 °C. However, the same group observed the onset of crystallization for AlSiO at the interface for a PDA at 950 °C. The proposed solution to reduce this crystallization was the deposition of a thin SiO2 layer at the AlSiO/GaN interface. Moreover, the SiO2 interfacial layer improves μFE by 50% (i.e., 27.7–36.2 cm2∙V−1s−1), and it is explained by the possible reduction of border traps [191].

3.2.3. HfO2 and SiO2 Alloys for Improved Mobility: HfSiOx

Another interesting approach is the use of HfSiOx by mixing HfO2 and SiO2 by ALD [69,196,197,198,199]. As AlSiO, HfSiOx is deposited by alternating ALD HfO2 and ALD SiO2. Hf and Si composition is controlled by adjusting the ratio of HfO2 and SiO2 ALD cycles [198,199]. HfO2 has a higher relative permittivity but suffers from low thermal stability. In the same way with AlSiO, the introduction of Si increases the thermal stability. In that matter, non-crystallized film after a PDA at 900 °C for 5 min is reported with a silicon content of 43% [199]. Reported values of relative permittivity are on the order of 13~18 with a high band gap around 6.5 eV, close to reported ALD Al2O3 band gap of 6.8 eV [196,199,200]. Compared to HfO2, HfSiOx leads to lower hysteresis possibly associated to fewer electron traps, but a lower VFB/VTH induced by possible positive charges is also reported [197,198]. For an ALE fully recessed MIS gate HEMT, a positive VTH of 2.1 V was reported [196].
In terms of interface quality with GaN or AlGaN, HfSiOx has lower Dit than HfO2 and Al2O3 (around 5 × 1011 cm−2∙eV−1 at EC–ET ≈ 0.3 eV), possibly because of the high temperature PDA applied (i.e., 800 °C). This highlights the higher interface quality of GaN with HfSiOx than with HfO2 and Al2O3 [196,198,199]. Combined with the high relative permittivity, transconductance is increased compared to Al2O3 in AlGaN/GaN HEMTs [199]. With an ALE fully recessed MIS gate HEMT, a μFE of around 406 cm²∙V−1∙s–1 is obtained [196].

3.2.4. Al2O3 and TiO2 alloy for VTH Engineering: AlTiO

Finally, the use of AlTiO, a ternary alloy of Al2O3 and TiO2 obtained through the deposition of ALD Al2O3 and TiO2 nanolaminates offers an interesting solution to increase VTH [201,202]. Combining Al2O3 with TiO2 lead to finding a trade-off between TiO2 high relative permittivity but small band gap (60 and 3.5 eV, respectively) with Al2O3 lower relative permittivity and higher band gap (~9 and ~7 eV, respectively) [157,158]. The obtained band gap and relative permittivity are in the order of 5–6 eV and ~22, respectively [201,202]. However, the major gain with AlTiO consists in the increase of VFB/VTH. Indeed, on the one hand, Nguyen and Suzuki reported that with a decreasing Al content from 100% to 35%, a reduction of positives charges at the AlTiO/AlGaN interface is observed and explained by the reduction of O-Ga and O-Al at the surface by Ti [202]. Combined with partially recessed AlGaN barrier, further reduction of interfacial charges is obtained as shown in Figure 12a, leading to a VTH of 1.7 V with an Al content of 73% and a remaining AlGaN barrier of 4 nm [203]. Nonetheless, gate voltage of −6 V is reported to induce a VTH negative shift of −0.5 V [203]. On the other hand, Gupta and co-workers reported a normally-OFF HEMT with a partially recessed AlGaN barrier (8 nm) and an AlTiO layer after PDA [201]. With increasing Al content from 10% to 52%, an increase in VTH is observed, allowing a VTH of 0.5 V. Compared to Al2O3 and TiO2 on HEMTs, higher VTH is obtained with a Ti content of 50% as represented in Figure 12b. The increase of VTH with Al content is explained and confirmed by the formation of p-type doping with deep acceptors states close to AlTiO’s valence band [204]. These states are formed by Al replacing Ti in TiO2. Hence with higher Al content up to 52%, more p-doping is obtained. Concerning hysteresis, a low hysteresis of 40 mV for VDS of 15 V was reported. Finally, by combining both results, it seems that an intermediate Al content is the optimal content to have the highest VTH.

3.2.5. Summary

In conclusion, many dielectric candidates exist for MOSc-HEMT, from standard Al2O3 to alternative solution such as ternary alloys. Their implementation is beneficial for both electrical (i.e., hysteresis and VTH) and material properties (i.e., crystallization temperature). For high thermal stability, AlON, AlSiO, and HfSiOx are interesting, allowing higher thermal budget in the fabrication process. Better interface and less defects are also commonly reported for those dielectrics. Finally, AlTiO offers an interesting approach to increase the threshold voltage. Since AlTiO in a fully recessed gate is not yet reported, it would be reasonable to verify the possible VTH obtained from this gate stack. A summary of the MOSCAPs discussed in this section is represented in Table 3.

4. Conclusions

The fully recessed MIS gate GaN HEMT (or MOSc-HEMT) is a promising solution for normally-OFF GaN-based power devices thanks to its positive threshold voltage, reduced leakage current, and higher allowed gate-voltage swing. Its development can be challenging due to the impact of different process steps of the transistor. The main effect is the low and unstable VTH, and the reduced mobility at the gate channel. In addition, the necessary etching of the AlGaN barrier introduces a GaN surface far from pristine.
The main challenges are a proper characterization of the induced damage and a process integration compatible with industrial requirements. Among the current developments, ALE represents a useful tool to mitigate the damage caused by ICP-RIE. Its controlled etching process associated to the removal of the damaged layer can reduce the roughness and increase the electron mobility. In similar fashion, recent work on selective area growth shows promising results but shares the same issues related to the dry etching step.
In addition to the etched surface, the GaN surface has a tendency to both contain more impurities than semiconductors such as silicon and has difficulty removing native gallium oxide (i.e., GaOx). This surface condition presents a challenge, specifically during air-break transitions. A recovery towards a more pristine GaN surface is possible through optimized etching (low impact etching and ALE) combined with specific surface treatments, from wet cleanings (e.g., HF or NH4OH) to plasma treatment (e.g., remote plasma pretreatment).
Interface layer, such as AlN, seems mandatory to increase the electron mobility as well as to protect the recessed surface from oxidation during dielectric deposition. The choice of the dielectric is also important, both to optimize the dielectric/GaN interface and be suitable with the integration process of the fully recessed MIS gate transistors. Al2O3 is frequently used thanks to its high band gap and high relative permittivity. However, instable VTH, low electron mobility and low thermal stability can limit its integration. Ternary alloys such as AlON, AlSiO, and HfSiOx could improve the above mentioned electrical properties as well as the thermal stability. As such, for AlON and AlSiO associated with high temperature annealing, immunity to electron trapping increases, hence, opening a path to lower VTH instability. Moreover, the possible introduction of negative charges in AlON could increase VTH. Another alternative is HfSiOx which allows an increase in the electron mobility thanks to both its good interface quality with GaN and its high relative permittivity. Combining HfSiOx with AlN interfacial layer could possibly further increase the electron mobility. Specifically for VTH engineering, the implementation of an AlTiO gate dielectric with an intermediary Ti content in fully recessed MIS Gate should further increase the VTH thanks to its apparent p-type behavior. However, from the reviewed literature on MOSc-HEMT, the process having the highest VTH is still in [166] with ICP-RIE and digital etching using Al2O3 with a PDA at 400 °C.
To summarize, the combination of different process steps from etching to dielectric deposition need to be well controlled in order to fit the desired final device properties. Such developments are also important in the case of vertical GaN MOS trench-gate transistors. A summary of the mentioned MOSc-HEMTs is reported in Table 4. Finally, a better understanding of gate–trench sidewall quality is needed in order to fully quantify their impact on device properties.

Author Contributions

Conceptualization, P.F.P.P.R., L.V., R.E. and J.B.; methodology, P.F.P.P.R. and L.V.; investigation, P.F.P.P.R., L.V. and J.B.; writing—original draft preparation, P.F.P.P.R., L.V., P.P.-B., S.R., R.E. and J.B.; writing—review and editing, P.F.P.P.R., L.V., P.P.-B., S.R., R.E. and J.B.; visualization, P.F.P.P.R.; supervision, J.B. All authors have read and agreed to the published version of the manuscript.

Funding

This work was partially supported by the French Renatech network and by the French Public Authorities within the frame of the PSPC French national program “G-Mobility”: DOS0134974.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Efthymiou, L.; Longobardi, G.; Camuso, G.; Chien, T.; Chen, M.; Udrea, F. On the Physical Operation and Optimization of the P-GaN Gate in Normally-off GaN HEMT Devices. Appl. Phys. Lett. 2017, 110, 123502. [Google Scholar] [CrossRef]
  2. Hu, Y.; Hernández-Gutiérrez, C.A.; Solís-Cisneros, H.I.; Santana, G.; Kudriatsev, Y.; Camas-Anzueto, J.L.; López-López, M. Blue Luminescence Origin and Mg Acceptor Saturation in Highly Doped Zinc-Blende GaN with Mg. J. Alloy. Compd. 2022, 897, 163133. [Google Scholar] [CrossRef]
  3. Lossy, R.; Gargouri, H.; Arens, M.; Würfl, J. Gallium Nitride MIS-HEMT Using Atomic Layer Deposited Al2O3 as Gate Dielectric. J. Vac. Sci. Technol. A Vac. Surf. Film. 2013, 31, 01A140. [Google Scholar] [CrossRef]
  4. Ahn, J.; Kim, D.; Park, K.-H.; Yoo, G.; Heo, J. Pt-Decorated Graphene Gate AlGaN/GaN MIS-HEMT for Ultrahigh Sensitive Hydrogen Gas Detection. IEEE Trans. Electron Devices 2021, 68, 1255–1261. [Google Scholar] [CrossRef]
  5. Texas Instrument. LMG1020 5-V, 7-A, 5-A Low-Side GaN and MOSFET Driver For 1-Ns Pulse Width Applications; Texas Instrument: Dallas, TX, USA, 2018; p. 26. [Google Scholar]
  6. Le Royer, C.; Mohamad, B.; Biscarrat, J.; Vauche, L.; Escoffier, R.; Buckley, J.; Bécu, S.; Riat, R.; Gillot, C.; Charles, M.; et al. Normally-OFF 650V GaN-on-Si MOSc-HEMT Transistor: Benefits of the Fully Recessed Gate Architecture. In Proceedings of the 2022 IEEE 34th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Vancouver, BC, Canada, 22–25 May 2022; pp. 49–52. [Google Scholar]
  7. Zhu, R.; Jiang, H.; Tang, C.W.; Lau, K.M. High-Performance GaN Vertical Trench MOSFETs Grown on Si Substrate. In Proceedings of the 2022 Compound Semiconductor Week (CSW), Ann Arbor, MI, USA, 1–3 June 2022; pp. 1–2. [Google Scholar]
  8. Khadar, R.A.; Liu, C.; Soleimanzadeh, R.; Matioli, E. Fully Vertical GaN-on-Si Power MOSFETs. IEEE Electron Device Lett. 2019, 40, 443–446. [Google Scholar] [CrossRef]
  9. Amano, H.; Baines, Y.; Beam, E.; Borga, M.; Bouchet, T.; Chalker, P.R.; Charles, M.; Chen, K.J.; Chowdhury, N.; Chu, R.; et al. The 2018 GaN Power Electronics Roadmap. J. Phys. D Appl. Phys. 2018, 51, 163001. [Google Scholar] [CrossRef]
  10. He, J.; Cheng, W.-C.; Wang, Q.; Cheng, K.; Yu, H.; Chai, Y. Recent Advances in GaN-Based Power HEMT Devices. Adv. Electron. Mater. 2021, 7, 2001045. [Google Scholar] [CrossRef]
  11. Pearton, S.J.; Douglas, E.A.; Shul, R.J.; Ren, F. Plasma Etching of Wide Bandgap and Ultrawide Bandgap Semiconductors. J. Vac. Sci. Technol. A 2020, 38, 020802. [Google Scholar] [CrossRef] [Green Version]
  12. Sreenidhi, T.; Baskar, K.; DasGupta, A.; DasGupta, N. Reactive Ion Etching of GaN in SF6 + Ar and SF6 + N2 Plasma. Semicond. Sci. Technol. 2008, 23, 125019. [Google Scholar] [CrossRef]
  13. Shah, A.P.; Azizur Rahman, A.; Bhattacharya, A. Temperature-Dependence of Cl2/Ar ICP-RIE of Polar, Semipolar, and Nonpolar GaN and AlN Following BCl3/Ar Breakthrough Plasma. J. Vac. Sci. Technol. A 2020, 38, 013001. [Google Scholar] [CrossRef]
  14. Lai, Y.-H.; Yeh, C.-T.; Hwang, J.-M.; Hwang, H.-L.; Chen, C.-T.; Hung, W.-H. Sputtering and Etching of GaN Surfaces. J. Phys. Chem. B 2001, 105, 10029–10036. [Google Scholar] [CrossRef]
  15. Kambayashi, H.; Satoh, Y.; Ootomo, S.; Kokawa, T.; Nomura, T.; Kato, S.; Chow, T.P. Over 100A Operation Normally-off AlGaN/GaN Hybrid MOS-HFET on Si Substrate with High-Breakdown Voltage. Solid-State Electron. 2010, 54, 660–664. [Google Scholar] [CrossRef]
  16. Liu, Z.; Wang, Y.; Xia, X.; Yang, H.; Li, J.; Gu, C. Fabrication of GaN Hexagonal Cones by Inductively Coupled Plasma Reactive Ion Etching. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2016, 34, 041226. [Google Scholar] [CrossRef]
  17. Constant, A.; Baele, J.; Coppens, P.; Pestel, F.D.; Moens, P.; Tack, M. Recessing Process for Au-Free Ohmic Contacts Formation on AlGaN/GaN Heterostructures with AlN Spacer. In Proceedings of the 2017 International Conference on Compound Semiconductor Manufacturing Technology (CS MANTECH), Indian Wells, CA, USA, 22–25 May 2017. [Google Scholar]
  18. Yamada, S.; Sakurai, H.; Osada, Y.; Furuta, K.; Nakamura, T.; Kamimura, R.; Narita, T.; Suda, J.; Kachi, T. Formation of Highly Vertical Trenches with Rounded Corners via Inductively Coupled Plasma Reactive Ion Etching for Vertical GaN Power Devices. Appl. Phys. Lett. 2021, 118, 102101. [Google Scholar] [CrossRef]
  19. Buttari, D.; Heikman, S.; Keller, S.; Mishra, U.K. Digital Etching for Highly Reproducible Low Damage Gate Recessing on AlGaN/GaN HEMTs. In Proceedings of the IEEE Lester Eastman Conference on High Performance Devices, Newark, DE, USA, 8 August 2002. [Google Scholar]
  20. Kanarik, K.J.; Lill, T.; Hudson, E.A.; Sriraman, S.; Tan, S.; Marks, J.; Vahedi, V.; Gottscho, R.A. Overview of Atomic Layer Etching in the Semiconductor Industry. J. Vac. Sci. Technol. A Vac. Surf. Film. 2015, 33, 020802. [Google Scholar] [CrossRef] [Green Version]
  21. Benrabah, S.; Legallais, M.; Besson, P.; Ruel, S.; Vauche, L.; Pelissier, B.; Thieuleux, C.; Salem, B.; Charles, M. H3PO4-Based Wet Chemical Etching for Recovery of Dry-Etched GaN Surfaces. Appl. Surf. Sci. 2022, 582, 152309. [Google Scholar] [CrossRef]
  22. Cao, X.A.; Cho, H.; Pearton, S.J.; Dang, G.T.; Zhang, A.P.; Ren, F.; Shul, R.J.; Zhang, L.; Hickman, R.; Van Hove, J.M. Depth and Thermal Stability of Dry Etch Damage in GaN Schottky Diodes. Appl. Phys. Lett. 1999, 75, 232–234. [Google Scholar] [CrossRef]
  23. Wang, Q.; Jiang, Y.; Zhang, J.; Kawaharada, K.; Li, L.; Wang, D.; Ao, J.-P. Effects of Recess Process and Surface Treatment on the Threshold Voltage of GaN MOSFETs Fabricated on a AlGaN/GaN Heterostructure. Semicond. Sci. Technol. 2015, 30, 065004. [Google Scholar] [CrossRef]
  24. Lee, J.-M.; Chang, K.-M.; Kim, S.-W.; Huh, C.; Lee, I.-H.; Park, S.-J. Dry Etch Damage in N-Type GaN and Its Recovery by Treatment with an N2 Plasma. J. Appl. Phys. 2000, 87, 5. [Google Scholar] [CrossRef] [Green Version]
  25. Yamada, S.; Takeda, K.; Toguchi, M.; Sakurai, H.; Nakamura, T.; Suda, J.; Kachi, T.; Sato, T. Depth Profiling of Surface Damage in N-Type GaN Induced by Inductively Coupled Plasma Reactive Ion Etching Using Photo-Electrochemical Techniques. Appl. Phys. Express 2020, 13, 106505. [Google Scholar] [CrossRef]
  26. Tokuda, H.; Harada, S.; Asubar, J.T.; Kuzuhara, M. Influence of Reactive-Ion-Etching Depth on Interface Properties in Al2O3/n-GaN MOS Diodes. Jpn. J. Appl. Phys. 2019, 58, 106503. [Google Scholar] [CrossRef]
  27. Jiang, Y.; Wang, Q.P.; Tamai, K.; Miyashita, T.; Motoyama, S.; Wang, D.J.; Ao, J.P.; Ohno, Y. GaN MOSFET with Boron Trichloride-Based Dry Recess Process. J. Phys. Conf. Ser. 2013, 441, 012025. [Google Scholar] [CrossRef] [Green Version]
  28. Yatabe, Z.; Asubar, J.T.; Hashizume, T. Insulated Gate and Surface Passivation Structures for GaN-Based Power Transistors. J. Phys. D Appl. Phys. 2016, 49, 393001. [Google Scholar] [CrossRef]
  29. Takashima, S.; Li, Z.; Chow, T.P. Sidewall Dominated Characteristics on Fin-Gate AlGaN/GaN MOS-Channel-HEMTs. IEEE Trans. Electron Devices 2013, 60, 3025–3031. [Google Scholar] [CrossRef]
  30. Yatabe, Z.; Hori, Y.; Kim, S.; Hashizume, T. Effects of Cl2-Based Inductively Coupled Plasma Etching of AlGaN on Interface Properties of Al2O3/AlGaN/GaN Heterostructures. Appl. Phys. Express 2013, 6, 016502. [Google Scholar] [CrossRef]
  31. Fukumizu, H.; Sekine, M.; Hori, M.; Kanomaru, K.; Kikuchi, T. Atomic Layer Etching of AlGaN Using Cl2 and Ar Gas Chemistry and UV Damage Evaluation. J. Vac. Sci. Technol. A 2019, 37, 021002. [Google Scholar] [CrossRef]
  32. Foster, G.M.; Koehler, A.; Ebrish, M.; Gallagher, J.; Anderson, T.; Noesges, B.; Brillson, L.; Gunning, B.; Hobart, K.D.; Kub, F. Recovery from Plasma Etching-Induced Nitrogen Vacancies in p-Type Gallium Nitride Using UV/O3 Treatments. Appl. Phys. Lett. 2020, 117, 082103. [Google Scholar] [CrossRef]
  33. Pernel, C.; Berthou, W.; Suman, S.; Ruel, S.; Vauche, L. Electrochemical Short Loop to Assess the Impact of Plasma and Surface Treatments on N-GaN. In Proceedings of the 2022 International Workshop on Nitrides Semiconductors, Berlin, Germany, 9–14 October 2022. [Google Scholar]
  34. Lehmann, J.; Leroux, C.; Reimbold, G.; Charles, M.; Torres, A.; Morvan, E.; Baines, Y.; Ghibaudo, G.; Bano, E. Novel Sheet Resistance Measurement on AlGaN/GaN HEMT Wafer Adapted from Four-Point Probe Technique. In Proceedings of the Proceedings of the 2015 International Conference on Microelectronic Test Structures, Tempe, AZ, USA, 23–26 March 2015; pp. 163–168. [Google Scholar]
  35. Pimenta-Barros, P.; Chauvet, N.; Roux, F.L.; Burtin, P.; Tan, S.; Barnola, S.; Ruel, S.; Vauche, L.; Torres, A.; Plissonnier, M.; et al. GaN Damage Evaluation after Conventional Plasma Etching and Anisotropic Atomic Layer Etching. In Proceedings of the 41st International Symposium on Dry Process (DPS2019), Hiroshima, Japan, 21–22 November 2019; Volume 41, pp. 43–44. [Google Scholar]
  36. Ruel, S.; Pimenta-Barros, P.; Roux, F.L.; Chauvet, N.; Massardier, M.; Thoueille, P.; Tan, S.; Shin, D.; Gaucher, F.; Posseme, N. Atomic Layer Etching of GaN Using Cl2 and He or Ar Plasma. J. Vac. Sci. Technol. A 2021, 39, 022601. [Google Scholar] [CrossRef]
  37. Tang, K.; Huang, W.; Chow, T.P. GaN MOS Capacitors and FETs on Plasma-Etched GaN Surfaces. J. Electron. Mater. 2009, 38, 523–528. [Google Scholar] [CrossRef]
  38. Cao, X.A.; Pearton, S.J.; Zhang, A.P.; Dang, G.T.; Ren, F.; Shul, R.J.; Zhang, L.; Hickman, R.; Hove, J.M.V. Electrical Effects of Plasma Damage in P-GaN. Appl. Phys. Lett. 1999, 75, 4. [Google Scholar] [CrossRef]
  39. Lin, M.E.; Fan, Z.F.; Ma, Z.; Allen, L.H.; Morkoç, H. Reactive Ion Etching of GaN Using BCl3. Appl. Phys. Lett. 1994, 64, 887–888. [Google Scholar] [CrossRef]
  40. Sato, T.; Toguchi, M.; Komatsu, Y.; Uemura, K. Low-Damage Etching for AlGaN/GaN HEMTs Using Photo-Electrochemical Reactions. IEEE Trans. Semicond. Manuf. 2019, 32, 483–488. [Google Scholar] [CrossRef] [Green Version]
  41. Heffernan, C.; Lynch, R.P.; Buckley, D.N. A Study of the Photoelectrochemical Etching of N-GaN in H3PO4 and KOH Electrolytes. ECS J. Solid State Sci. Technol. 2020, 9, 015003. [Google Scholar] [CrossRef] [Green Version]
  42. Fariza, A.; Ji, X.; Gao, Y.; Ran, J.; Wang, J.; Wei, T. Role of Energy-Band Offset in Photo-Electrochemical Etching Mechanism of p-GaN Heterostructures. J. Appl. Phys. 2021, 129, 165701. [Google Scholar] [CrossRef]
  43. Horikiri, F.; Ohta, H.; Asai, N.; Narita, Y.; Yoshida, T.; Mishima, T. Excellent Potential of Photo-Electrochemical Etching for Fabricating High-Aspect-Ratio Deep Trenches in Gallium Nitride. Appl. Phys. Express 2018, 11, 091001. [Google Scholar] [CrossRef]
  44. Thayne, I.; Li, X.; Millar, D.; Fu, Y.-C.; Peralagu, U. Plasma Processing of III-V Materials for Energy Efficient Electronics Applications; Engelmann, S.U., Wise, R.S., Engelmann, S.U., Wise, R.S., Eds.; SPIE: San Jose, CA, USA, 2017; p. 101490R. [Google Scholar]
  45. Yamada, S. Reduction of Plasma-Induced Damage in n-Type GaN by Multistep-Bias Etching in Inductively Coupled Plasma Reactive Ion Etching. Appl. Phys. Express 2019, 13, 016505. [Google Scholar] [CrossRef]
  46. Lin, Y.-K.; Noda, S.; Lo, H.-C.; Liu, S.-C.; Wu, C.-H.; Wong, Y.-Y.; Luc, Q.H.; Chang, P.-C.; Hsu, H.-T.; Samukawa, S.; et al. AlGaN/GaN HEMTs With Damage-Free Neutral Beam Etched Gate Recess for High-Performance Millimeter-Wave Applications. IEEE Electron Device Lett. 2016, 37, 1395–1398. [Google Scholar] [CrossRef]
  47. Kauppinen, C.; Khan, S.A.; Sundqvist, J.; Suyatin, D.B.; Suihkonen, S.; Kauppinen, E.I.; Sopanen, M. Atomic Layer Etching of Gallium Nitride (0001). J. Vac. Sci. Technol. A Vac. Surf. Film. 2017, 35, 060603. [Google Scholar] [CrossRef] [Green Version]
  48. Ohba, T.; Yang, W.; Tan, S.; Kanarik, K.J.; Nojiri, K. Atomic Layer Etching of GaN and AlGaN Using Directional Plasma-Enhanced Approach. Jpn. J. Appl. Phys. 2017, 56, 06HB06. [Google Scholar] [CrossRef] [Green Version]
  49. Kanarik, K.J.; Tan, S.; Yang, W.; Kim, T.; Lill, T.; Kabansky, A.; Hudson, E.A.; Ohba, T.; Nojiri, K.; Yu, J.; et al. Predicting Synergy in Atomic Layer Etching. J. Vac. Sci. Technol. A Vac. Surf. Film. 2017, 35, 05C302. [Google Scholar] [CrossRef] [Green Version]
  50. Aroulanda, S.; Patard, O.; Altuntas, P.; Michel, N.; Pereira, J.; Lacam, C.; Gamarra, P.; Delage, S.L.; Defrance, N.; de Jaeger, J.-C.; et al. Cl2/Ar Based Atomic Layer Etching of AlGaN Layers. J. Vac. Sci. Technol. A 2019, 37, 041001. [Google Scholar] [CrossRef]
  51. Despiau-Pujo, E.; Chabert, P.; Bansropun, S.; Thénot, D.; Plouhinec, P.; Cassette, S. Simulations of Radical and Ion Fluxes on a Wafer in a Cl2/Ar Inductively Coupled Plasma Discharge: Confrontation with GaAs and GaN Etch Experiments. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2010, 28, 693–701. [Google Scholar] [CrossRef]
  52. Despiau-Pujo, E.; Chabert, P. MD Simulations of GaN Sputtering by Ar+ Ions: Ion-Induced Damage and near-Surface Modification under Continuous Bombardment. J. Vac. Sci. Technol. A Vac. Surf. Film. 2010, 28, 1105–1110. [Google Scholar] [CrossRef]
  53. Deenapanray, P.N.K.; Petravić, M.; Kim, K.-J.; Kim, B.; Li, G. Compositional Changes on GaN Surfaces under Low-Energy Ion Bombardment Studied by Synchrotron-Based Spectroscopies. Appl. Phys. Lett. 2003, 83, 4948–4950. [Google Scholar] [CrossRef] [Green Version]
  54. Petravic, M.; Deenapanray, P.N.K.; Coleman, V.A.; Kim, K.; Kim, B.; Li, G. Core-Level Photoemission and near-Edge x-Ray Absorption Fine-Structure Studies of GaN Surface under Low-Energy Ion Bombardment. J. Appl. Phys. 2004, 95, 5487–5493. [Google Scholar] [CrossRef] [Green Version]
  55. Venugopal, V.; Upadhyaya, K.; Kumar, K.; Shivaprasad, S.M. Ion Induced Compositional Changes and Nanodroplet Formation on GaN Surface. Appl. Surf. Sci. 2014, 315, 440–444. [Google Scholar] [CrossRef]
  56. Petravic, M.; Coleman, V.A.; Kim, K.-J.; Kim, B.; Li, G. Defect Acceptor and Donor in Ion-Bombarded GaN. J. Vac. Sci. Technol. A Vac. Surf. Film. 2005, 23, 1340–1345. [Google Scholar] [CrossRef] [Green Version]
  57. Hua, M.; Liu, C.; Yang, S.; Liu, S.; Fu, K.; Dong, Z.; Cai, Y.; Zhang, B.; Chen, K.J. GaN-Based Metal-Insulator-Semiconductor High-Electron-Mobility Transistors Using Low-Pressure Chemical Vapor Deposition SiNx as Gate Dielectric. IEEE Electron Device Lett. 2015, 36, 448–450. [Google Scholar] [CrossRef]
  58. Hua, M.; Zhang, Z.; Qian, Q.; Wei, J.; Bao, Q.; Tang, G.; Chen, K.J. High-Performance Fully-Recessed Enhancement- Mode GaN MIS-FETs with Crystalline Oxide Interlayer. In Proceedings of the 29th International Symposium on Power Semiconductor Devices & ICs, Sapporo, Japan, 28 May–1 June 2017. [Google Scholar]
  59. Hua, M.; Zhang, Z.; Wei, J.; Lei, J.; Tang, G.; Fu, K.; Cai, Y.; Zhang, B.; Chen, K.J. Integration of LPCVD-SiNx Gate Dielectric with Recessed-Gate E-Mode GaN MIS-FETs: Toward High Performance, High Stability and Long TDDB Lifetime. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016. [Google Scholar]
  60. Le Roux, F.; Possémé, N.; Burtin, P.; Barnola, S.; Torres, A. XPS Study of a Selective GaN Etching Process Using Self-Limiting Cyclic Approach for Power Devices Application. Microelectron. Eng. 2020, 228, 111328. [Google Scholar] [CrossRef]
  61. Zhou, Q.; Zhang, A.; Zhu, R.; Shi, Y.; Wang, Z.; Liu, L.; Chen, B.; Jin, Y.; Chen, W.; Zhang, B. Threshold Voltage Modulation by Interface Charge Engineering for High Performance Normally-off GaN MOSFETs with High Faulty Turn-on Immunity. In Proceedings of the 2016 28th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Prague, Czech Republic, 12–16 June 2016. [Google Scholar]
  62. Wu, J.; Lei, S.; Cheng, W.-C.; Sokolovskij, R.; Wang, Q.; Xia, G. (Maggie); Yu, H. Oxygen-Based Digital Etching of AlGaN/GaN Structures with AlN as Etch-Stop Layers. J. Vac. Sci. Technol. A 2019, 37, 060401. [Google Scholar] [CrossRef] [Green Version]
  63. Zhu, J.; Jing, S.; Ma, X.; Liu, S.; Wang, P.; Zhang, Y.; Zhu, Q.; Mi, M.; Hou, B.; Yang, L.; et al. Improvement of Electron Transport Property and On-Resistance in Normally-OFF Al2O3/AlGaN/GaN MOS-HEMTs Using Post-Etch Surface Treatment. IEEE Trans. Electron Devices 2020, 67, 3541–3547. [Google Scholar] [CrossRef]
  64. Chiu, H.-C.; Chang, Y.-S.; Li, B.-H.; Wang, H.-C.; Kao, H.-L.; Chien, F.-T.; Hu, C.-W.; Xuan, R. High Uniformity Normally-OFF p-GaN Gate HEMT Using Self-Terminated Digital Etching Technique. IEEE Trans. Electron Devices 2018, 65, 4820–4825. [Google Scholar] [CrossRef]
  65. Shih, P.-C.; Engel, Z.; Ahmad, H.; Doolittle, W.A.; Palacios, T. Wet-Based Digital Etching on GaN and AlGaN. Appl. Phys. Lett. 2022, 120, 022101. [Google Scholar] [CrossRef]
  66. Zhou, Q.; Chen, B.; Jin, Y.; Huang, S.; Wei, K.; Liu, X.; Bao, X.; Mou, J.; Zhang, B. High-Performance Enhancement-Mode Al2O3/AlGaN/GaN-on-Si MISFETs With 626 MW/Cm2 Figure of Merit. IEEE Trans. Electron Devices 2015, 62, 776–781. [Google Scholar] [CrossRef]
  67. Burnham, S.D.; Boutros, K.; Hashimoto, P.; Butler, C.; Wong, D.W.S.; Hu, M.; Micovic, M. Gate-Recessed Normally-off GaN-on- Si HEMT Using a New O2-BCl3 Digital Etching Technique. Phys. Status Solidi (C) 2010, 7, 2010–2012. [Google Scholar] [CrossRef]
  68. Mikhailovich, S.V.; Pavlov, A.Y.; Tomosh, K.N.; Fedorov, Y.V. Low-Energy Defectless Dry Etching of the AlGaN/AlN/GaN HEMT Barrier Layer. Tech. Phys. Lett. 2018, 44, 435–437. [Google Scholar] [CrossRef]
  69. Hu, Q.; Li, S.; Li, T.; Wang, X.; Li, X.; Wu, Y. Channel Engineering of Normally-OFF AlGaN/GaN MOS-HEMTs by Atomic Layer Etching and High-κ Dielectric. IEEE Electron Device Lett. 2018, 39, 1377–1380. [Google Scholar] [CrossRef]
  70. Zhou, Q.; Yang, Y.; Hu, K.; Zhu, R.; Chen, W.; Zhang, B. Device Technologies of GaN-on-Si for Power Electronics: Enhancement-Mode Hybrid MOS-HFET and Lateral Diode. IEEE Trans. Ind. Electron. 2017, 64, 8971–8979. [Google Scholar] [CrossRef]
  71. Wang, H.; Wang, J.; Li, M.; Cao, Q.; Yu, M.; He, Y.; Wu, W. 823-MA/Mm Drain Current Density and 945-MW/Cm2 Baliga’s Figure-of-Merit Enhancement-Mode GaN MISFETs With a Novel PEALD-AlN/LPCVD-Si3N4 Dual-Gate Dielectric. IEEE Electron Device Lett. 2018, 39, 1888–1891. [Google Scholar] [CrossRef]
  72. Gao, J.; Jin, Y.; Xie, B.; Wen, C.P.; Hao, Y.; Wang, M. GaN Lateral Schottky Diodes with High Baliga’s Figure-of-Merit Utilizing Self-Terminated, Low Damage Anode Recessing Technology. In Proceedings of the 2018 76th Device Research Conference (DRC), Santa Barbara, CA, USA, 24–27 June 2018; pp. 1–2. [Google Scholar]
  73. Lin, S.; Wang, M.; Sang, F.; Tao, M.; Wen, C.P.; Xie, B.; Yu, M.; Wang, J.; Hao, Y.; Wu, W.; et al. A GaN HEMT Structure Allowing Self-Terminated, Plasma-Free Etching for High-Uniformity, High-Mobility Enhancement-Mode Devices. IEEE Electron Device Lett. 2016, 37, 377–380. [Google Scholar] [CrossRef]
  74. Tao, M.; Liu, S.; Xie, B.; Wen, C.P.; Wang, J.; Hao, Y.; Wu, W.; Cheng, K.; Shen, B.; Wang, M. Characterization of 880 V Normally Off GaN MOSHEMT on Silicon Substrate Fabricated With a Plasma-Free, Self-Terminated Gate Recess Process. IEEE Trans. Electron Devices 2018, 65, 1453–1457. [Google Scholar] [CrossRef]
  75. Jo, Y.-W.; Son, D.-H.; Won, C.-H.; Lee, J.-H. Normally-Off AlGaN/GaN-Based MOSHEMT by Using One-Step TMAH Wet Etching. Korean Soc. Electron. Eng. Conf. 2016, 11, 945–949. [Google Scholar]
  76. Eller, B.S.; Yang, J.; Nemanich, R.J. Electronic Surface and Dielectric Interface States on GaN and AlGaN. J. Vac. Sci. Technol. A Vac. Surf. Film. 2013, 31, 050807. [Google Scholar] [CrossRef]
  77. Zywietz, T.K.; Neugebauer, J.; Scheffler, M. The Adsorption of Oxygen at GaN Surfaces. Appl. Phys. Lett. 1999, 74, 1695–1697. [Google Scholar] [CrossRef] [Green Version]
  78. Khadar, R.A.; Liu, C.; Soleimanzadeh1=, R.; Matioli, E. Fully-Vertical GaN-on-Si Power MOSFETs. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), Francisco, CA, USA, 1–5 December 2018; pp. 1–2. [Google Scholar]
  79. Hirai, H.; Miura, Y.; Nakajima, A.; Harada, S.; Yamaguchi, H. Crystal-Orientation-Dependent Flatband Voltage of Non-Polar GaN MOS Interfaces Investigated Using Trench Sidewall Capacitors. Appl. Phys. Lett. 2021, 119, 071601. [Google Scholar] [CrossRef]
  80. Kodama, M.; Sugimoto, M.; Hayashi, E.; Soejima, N.; Ishiguro, O.; Kanechika, M.; Itoh, K.; Ueda, H.; Uesugi, T.; Kachi, T. GaN-Based Trench Gate Metal Oxide Semiconductor Field-Effect Transistor Fabricated with Novel Wet Etching. Appl. Phys. Express 2008, 1, 021104. [Google Scholar] [CrossRef]
  81. Ji, D.; Li, W.; Agarwal, A.; Chan, S.H.; Haller, J.; Bisi, D.; Labrecque, M.; Gupta, C.; Cruse, B.; Lal, R.; et al. Improved Dynamic RON of GaN Vertical Trench MOSFETs (OG-FETs) Using TMAH Wet Etch. IEEE Electron Device Lett. 2018, 39, 1030–1033. [Google Scholar] [CrossRef]
  82. Lu, B.; Sun, M.; Palacios, T. An Etch-Stop Barrier Structure for GaN High-Electron-Mobility Transistors. IEEE Electron Device Lett. 2013, 34, 369–371. [Google Scholar] [CrossRef]
  83. Im, K.-S. Mobility Fluctuations in a Normally-Off GaN MOSFET Using Tetramethylammonium Hydroxide Wet Etching. IEEE Electron Device Lett. 2021, 42, 18–21. [Google Scholar] [CrossRef]
  84. Reddy, M.S.P.; Park, W.-S.; Im, K.-S.; Lee, J.-H. Dual-Surface Modification of AlGaN/GaN HEMTs Using TMAH and Piranha Solutions for Enhancing Current and 1/f-Noise Characteristics. IEEE J. Electron Devices Soc. 2018, 6, 791–796. [Google Scholar] [CrossRef]
  85. Stocker, D.A.; Schubert, E.F.; Redwing, J.M. Crystallographic Wet Chemical Etching of GaN. Appl. Phys. Lett. 1998, 73, 2654–2656. [Google Scholar] [CrossRef] [Green Version]
  86. Wong, M.S.; Lee, C.; Myers, D.J.; Hwang, D.; Kearns, J.A.; Li, T.; Speck, J.S.; Nakamura, S.; DenBaars, S.P. Size-Independent Peak Efficiency of III-Nitride Micro-Light-Emitting-Diodes Using Chemical Treatment and Sidewall Passivation. Appl. Phys. Express 2019, 12, 097004. [Google Scholar] [CrossRef]
  87. Yang, J.; Eller, B.S.; Nemanich, R.J. Surface Band Bending and Band Alignment of Plasma Enhanced Atomic Layer Deposited Dielectrics on Ga- and N-Face Gallium Nitride. J. Appl. Phys. 2014, 116, 123702. [Google Scholar] [CrossRef] [Green Version]
  88. Meunier, R.; Torres, A.; Charles, M.; Morvan, E.; Petit-Etienne, C.; Renault, O.; Billon, T. XPS Analysis of AlGaN/GaN Surface after Chemical and N-Containing Plasma Treatments. ECS Trans. 2012, 50, 451–460. [Google Scholar] [CrossRef]
  89. Sohal, R.; Dudek, P.; Hilt, O. Comparative Study of NH4OH and HCl Etching Behaviours on AlGaN Surfaces. Appl. Surf. Sci. 2010, 256, 2210–2214. [Google Scholar] [CrossRef]
  90. Zhernokletov, D.M.; Negara, M.A.; Long, R.D.; Aloni, S.; Nordlund, D.; McIntyre, P.C. Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation Prior to Atomic Layer Deposition. ACS Appl. Mater. Interfaces 2015, 7, 12774–12780. [Google Scholar] [CrossRef]
  91. Vauche, L.; Chanuel, A.; Martinez, E.; Roure, M.-C.; Le Royer, C.; Bécu, S.; Gwoziecki, R.; Plissonnier, M. Study of an Al2O3/GaN Interface for Normally Off MOS-Channel High-Electron-Mobility Transistors Using XPS Characterization: The Impact of Wet Surface Treatment on Threshold Voltage VTH. ACS Appl. Electron. Mater. 2021, 3, 1170–1177. [Google Scholar] [CrossRef]
  92. Jackson, C.M.; Arehart, A.R.; Grassman, T.J.; McSkimming, B.; Speck, J.S.; Ringel, S.A. Impact of Surface Treatment on Interface States of ALD Al2O3/GaN Interfaces. ECS J. Solid State Sci. Technol. 2017, 6, P489–P494. [Google Scholar] [CrossRef]
  93. King, S.W.; Barnak, J.P.; Bremser, M.D.; Tracy, K.M.; Ronning, C.; Davis, R.F.; Nemanich, R.J. Cleaning of AlN and GaN Surfaces. J. Appl. Phys. 1998, 84, 5248–5260. [Google Scholar] [CrossRef]
  94. Uhlrich, J.J.; Grabow, L.C.; Mavrikakis, M.; Kuech, T.F. Practical Surface Treatments and Surface Chemistry of N-Type and p-Type GaN. J. Electron. Mater. 2008, 37, 439–447. [Google Scholar] [CrossRef]
  95. Okada, H.; Shinohara, M.; Kondo, Y.; Sekiguchi, H.; Yamane, K.; Wakahara, A. Investigation of HCl-Based Surface Treatment for GaN Devices. AIP Conf. Proc. 2016, 1709, 020011. [Google Scholar]
  96. Rosenberg, S.G.; Pennachio, D.J.; Wagenbach, C.; Johnson, S.D.; Nepal, N.; Kozen, A.C.; Woodward, J.M.; Robinson, Z.; Joress, H.; Ludwig, K.F.; et al. Low Temperature Surface Preparation of GaN Substrates for Atomic Layer Epitaxial Growth: Assessment of Ex Situ Preparations. J. Vac. Sci. Technol. A 2019, 37, 020908. [Google Scholar] [CrossRef]
  97. English, C.R.; Wheeler, V.D.; Garces, N.Y.; Nepal, N.; Nath, A.; Hite, J.K.; Mastro, M.A.; Eddy, C.R. Impact of Surface Treatments on High-κ Dielectric Integration with Ga-Polar and N-Polar GaN. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2014, 32, 03D106. [Google Scholar] [CrossRef]
  98. Yamamoto, A.; Kanatani, K.; Makino, S.; Kuzuhara, M. Metalorganic Vapor Phase Epitaxial Growth of AlGaN Directly on Reactive-Ion Etching-Treated GaN Surfaces to Prepare AlGaN/GaN Heterostructures with High Electron Mobility (∼1500 Cm2V−1s−1): Impacts of Reactive-Ion Etching-Damaged Layer Removal. Jpn. J. Appl. Phys. 2018, 57, 125501. [Google Scholar] [CrossRef]
  99. Auzelle, T.; Ullrich, F.; Hietzschold, S.; Brackmann, S.; Hillebrandt, S.; Kowalsky, W.; Mankel, E.; Lovrincic, R.; Fernández-Garrido, S. Electronic Properties of Air-Exposed GaN(11-00) and (0001) Surfaces after Several Device Processing Compatible Cleaning Steps. Appl. Surf. Sci. 2019, 495, 143514. [Google Scholar] [CrossRef]
  100. Nepal, N.; Garces, N.Y.; Meyer, D.J.; Hite, J.K.; Mastro, M.A.; Eddy, C.R., Jr. Assessment of GaN Surface Pretreatment for Atomic Layer Deposited High- k Dielectrics. Appl. Phys. Express 2011, 4, 055802. [Google Scholar] [CrossRef]
  101. Duan, T.L.; Pan, J.S.; Ang, D.S. Investigation of Surface Band Bending of Ga-Face GaN by Angle-Resolved X-Ray Photoelectron Spectroscopy. ECS J. Solid State Sci. Technol. 2016, 5, P514–P517. [Google Scholar] [CrossRef]
  102. Hossain, T.; Wei, D.; Edgar, J.H.; Garces, N.Y.; Nepal, N.; Hite, J.K.; Mastro, M.A.; Eddy, C.R.; Meyer, H.M. Effect of GaN Surface Treatment on Al2O3/n-GaN MOS Capacitors. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2015, 33, 061201. [Google Scholar] [CrossRef] [Green Version]
  103. Reiner, M.; Reiss, M.; Brünig, T.; Knuuttila, L.; Pietschnig, R.; Ostermaier, C. Chemical Understanding and Utility of H3PO4 Etching of Group-III- Nitrides: Chemical Understanding and Utility of H3PO4 Etching of Group-III-Nitrides. Phys. Status Solidi B 2015, 252, 1121–1126. [Google Scholar] [CrossRef]
  104. Lim, D.; Jung, W.S.; Choi, M.S.; Gil, Y.; Choi, C. The Effects of (NH4)2Sx Treatment on n-GaN MOS Device with Nano-Laminated ALD HfAlOx and Ru Gate Stack. Microelectron. Eng. 2015, 147, 210–214. [Google Scholar] [CrossRef]
  105. Han, H.H.; Lim, D.; Sergeevich, A.S.; Jeon, Y.-R.; Lee, J.H.; Son, S.K.; Choi, C. Suppressed Charge Trapping Characteristics of (NH4)2Sx Passivated GaN MOS Device with Atomic Layer Deposited HfAlOx Gate Dielectric. Microelectron. Eng. 2017, 178, 240–244. [Google Scholar] [CrossRef]
  106. Kim, K.; Jang, J. Improving Ni/GaN Schottky Diode Performance through Interfacial Passivation Layer Formed via Ultraviolet/Ozone Treatment. Curr. Appl. Phys. 2020, 20, 293–297. [Google Scholar] [CrossRef]
  107. Kim, K.; Ryu, J.H.; Kim, J.; Cho, S.J.; Liu, D.; Park, J.; Lee, I.-K.; Moody, B.; Zhou, W.; Albrecht, J.; et al. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment. ACS Appl. Mater. Interfaces 2017, 9, 17576–17585. [Google Scholar] [CrossRef] [PubMed]
  108. Li, Y.; Xiu, X.; Xiong, Z.; Hua, X.; Xie, Z.; Chen, P.; Liu, B.; Tao, T.; Zhang, R.; Zheng, Y. Single-Crystal GaN Layer Converted from β-Ga2O3Films and Its Application for Free-Standing GaN. CrystEngComm 2019, 21, 1224–1230. [Google Scholar] [CrossRef]
  109. Chen, D.-Y.; Persson, A.R.; Wen, K.-H.; Sommer, D.; Grünenpütt, J.; Blanck, H.; Thorsell, M.; Kordina, O.; Darakchieva, V.; Persson, P.O.Å.; et al. Impact of in Situ NH3 Pre-Treatment of LPCVD SiN Passivation on GaN HEMT Performance. Semicond. Sci. Technol. 2022, 37, 035011. [Google Scholar] [CrossRef]
  110. Ho, Y.J.; Jin, H.S.; Ha, M.-W.; Park, T.J. Sulfur Incorporation at Interface Between Atomic-Layer-Deposited Al2O3 Thin Film and AlGaN/GaN Heterostructure. Electron. Mater. Lett. 2019, 15, 179–185. [Google Scholar]
  111. Cho, S.J.; Roberts, J.W.; Guiney, I.; Li, X.; Ternent, G.; Floros, K.; Humphreys, C.J.; Chalker, P.R.; Thayne, I.G. A Study of the Impact of In-Situ Argon Plasma Treatment before Atomic Layer Deposition of Al2O3 on GaN Based Metal Oxide Semiconductor Capacitor. Microelectron. Eng. 2015, 147, 277–280. [Google Scholar] [CrossRef]
  112. Wu, X.; Luo, W.; Liu, L.; Guo, L.; Liang, R.; Xu, J.; Wang, J. Effects of Argon Plasma Pretreatment on Polar and Nonpolar GaN/Al2O3 Interface. In Proceedings of the 2016 5th International Symposium on Next-Generation Electronics (ISNE), Hsinchu, Taiwan, 4–6 May 2016; pp. 1–2. [Google Scholar]
  113. Jung, W.S.; Lim, D.; Han, H.; Sokolov, A.S.; Jeon, Y.-R.; Choi, C. Influence of In-Situ NH3 Plasma Passivation on the Electrical Characteristics of Ga-Face n-GaN MOS Capacitor with Atomic Layer Deposited HfO2. Solid-State Electron. 2018, 149, 52–56. [Google Scholar] [CrossRef]
  114. Chen, P.-Y.; Posadas, A.B.; Kwon, S.; Wang, Q.; Kim, M.J.; Demkov, A.A.; Ekerdt, J.G. Cubic Crystalline Erbium Oxide Growth on GaN(0001) by Atomic Layer Deposition. J. Appl. Phys. 2017, 122, 215302. [Google Scholar] [CrossRef]
  115. Qin, X.; Dong, H.; Brennan, B.; Azacatl, A.; Kim, J.; Wallace, R.M. Impact of N2 and Forming Gas Plasma Exposure on the Growth and Interfacial Characteristics of Al2O3 on AlGaN. Appl. Phys. Lett. 2013, 103, 221604. [Google Scholar] [CrossRef]
  116. Hashizume, T.; Ootomo, S.; Inagaki, T.; Hasegawa, H. Surface Passivation of GaN and GaN/AlGaN Heterostructures by Dielectric Films and Its Application to Insulated-Gate Heterostructure Transistors. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2003, 21, 1828. [Google Scholar] [CrossRef] [Green Version]
  117. Yang, S.; Tang, Z.; Wong, K.-Y.; Lin, Y.-S.; Liu, C.; Lu, Y.; Huang, S.; Chen, K.J. High-Quality Interface in Al2O3/GaN/GaN/AlGaN/GaN MIS Structures With In Situ Pre-Gate Plasma Nitridation. IEEE Electron Device Lett. 2013, 34, 1497–1499. [Google Scholar] [CrossRef]
  118. Ozaki, S.; Ohki, T.; Kanamura, M.; Imada, T.; Nakamura, N.; Okamoto, N.; Miyajima, T.; Kikkawa, T. Effect of Oxidant Source on Threshold Voltage Shift of AlGaN/GaN MIS-HEMTs Using ALD-Al2O3 Gate Insulator Films. In Proceedings of the 2012 International Conference on Compound Semiconductor Manufacturing Technology, CS MANTECH 2012, Boston, MA, USA, 23–26 April 2012. [Google Scholar]
  119. Liu, S.; Yang, S.; Tang, Z.; Jiang, Q.; Liu, C.; Wang, M.; Shen, B.; Chen, K.J. Interface/Border Trap Characterization of Al2O3/AlN/GaN Metal-Oxide-Semiconductor Structures with an AlN Interfacial Layer. Appl. Phys. Lett. 2015, 106, 051605. [Google Scholar] [CrossRef]
  120. Zhang, Z.; Li, W.; Fu, K.; Yu, G.; Zhang, X.; Zhao, Y.; Sun, S.; Song, L.; Deng, X.; Xing, Z.; et al. AlGaN/GaN MIS-HEMTs of Very-Low Vth Hysteresis and Current Collapse with In-Situ Pre-Deposition Plasma Nitridation and LPCVD-Si3N4 Gate Insulator. IEEE Electron Device Lett. 2017, 38, 236–239. [Google Scholar] [CrossRef]
  121. Yang, S.; Tang, Z.; Wong, K.-Y.; Lin, Y.-S.; Lu, Y.; Huang, S.; Chen, K.J. Mapping of Interface Traps in High-Performance Al2O3/AlGaN/GaN MIS-Heterostructures Using Frequency- and Temperature-Dependent C-V Techniques. In Proceedings of the Electron Devices Meeting (IEDM), 2013 IEEE International, Washington, DC, USA, 9–11 December 2013. [Google Scholar]
  122. Yang, S.; Liu, S.; Liu, C.; Hua, M.; Chen, K.J. Gate Stack Engineering for GaN Lateral Power Transistors. Semicond. Sci. Technol. 2016, 31, 024001. [Google Scholar] [CrossRef]
  123. Tzou, A.-J.; Chu, K.-H.; Lin, I.-F.; Østreng, E.; Fang, Y.-S.; Wu, X.-P.; Wu, B.-W.; Shen, C.-H.; Shieh, J.-M.; Yeh, W.-K.; et al. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition. Nanoscale Res. Lett. 2017, 12, 315. [Google Scholar] [CrossRef] [Green Version]
  124. Guo, F.; Huang, S.; Wang, X.; Luan, T.; Shi, W.; Deng, K.; Fan, J.; Yin, H.; Shi, J.; Mu, F.; et al. Suppression of Interface States between Nitride-Based Gate Dielectrics and Ultrathin-Barrier AlGaN/GaN Heterostructure with in Situ Remote Plasma Pretreatments. Appl. Phys. Lett. 2021, 118, 093503. [Google Scholar] [CrossRef]
  125. Amalraj, F.W.; Dhasiyan, A.K.; Lu, Y.; Shimizu, N.; Oda, O.; Ishikawa, K.; Kondo, H.; Sekine, M.; Ikarashi, N.; Hori, M. Effect of N2/H2 Plasma on GaN Substrate Cleaning for Homoepitaxial GaN Growth by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD). AIP Adv. 2018, 8, 115116. [Google Scholar] [CrossRef] [Green Version]
  126. Horng, R.-H.; Tseng, M.-C.; Wuu, D.-S. Surface Treatments on the Characteristics of Metal–Oxide Semiconductor Capacitors. Crystals 2019, 9, 1. [Google Scholar] [CrossRef] [Green Version]
  127. Mukherjee, K.; De Santi, C.; You, S.; Geens, K.; Borga, M.; Decoutere, S.; Bakeroot, B.; Diehle, P.; Altmann, F.; Meneghesso, G.; et al. Study and Characterization of GaN MOS Capacitors: Planar vs Trench Topographies. Appl. Phys. Lett. 2022, 120, 143501. [Google Scholar] [CrossRef]
  128. Yang, C.; Luo, X.; Zhang, A.; Deng, S.; Ouyang, D.; Peng, F.; Wei, J.; Zhang, B.; Li, Z. AlGaN/GaN MIS-HEMT With AlN Interface Protection Layer and Trench Termination Structure. IEEE Trans. Electron Devices 2018, 65, 5203–5207. [Google Scholar] [CrossRef]
  129. Wei, D.; Hossain, T.; Nepal, N.; Garces, N.Y.; Hite, J.K.; Meyer, H.M.; Eddy, C.R.; Edgar, J.H. Comparison of the Physical, Chemical and Electrical Properties of ALD Al2O3 on c- and m- Plane GaN: Comparison of the Physical, Chemical and Electrical Properties of ALD Al2O3 on c- and m- Plane GaN. Phys. Status Solidi (C) 2014, 11, 898–901. [Google Scholar] [CrossRef] [Green Version]
  130. Wu, X.; Liang, R.; Guo, L.; Liu, L.; Xiao, L.; Shen, S.; Xu, J.; Wang, J. Improved Interface Properties of GaN Metal-Oxide-Semiconductor Device with Non-Polar Plane and AlN Passivation Layer. Appl. Phys. Lett. 2016, 109, 232101. [Google Scholar] [CrossRef]
  131. Ando, Y.; Nagamatsu, K.; Deki, M.; Taoka, N.; Tanaka, A.; Nitta, S.; Honda, Y.; Nakamura, T.; Amano, H. Electrical Properties of GaN Metal-Insulator-Semiconductor Field-Effect Transistors with Al2O3/GaN Interfaces Formed on Vicinal Ga-Polar and Nonpolar Surfaces. Appl. Phys. Lett. 2020, 7, 242104. [Google Scholar] [CrossRef]
  132. Fu, H.; Fu, K.; Yang, C.; Liu, H.; Hatch, K.A.; Peri, P.; Herath Mudiyanselage, D.; Li, B.; Kim, T.-H.; Alugubelli, S.R.; et al. Selective Area Regrowth and Doping for Vertical Gallium Nitride Power Devices: Materials Challenges and Recent Progress. Mater. Today 2021, 49, 296–323. [Google Scholar] [CrossRef]
  133. Tajima, J.; Hikosaka, T.; Kuraguchi, M.; Nunoue, S. Improvement of Electrical Characteristics in Regrown AlGaN/GaN MOSFETs by Suppression of the Residual Interface Charge. J. Cryst. Growth 2019, 509, 129–132. [Google Scholar] [CrossRef]
  134. He, L.; Li, L.; Yang, F.; Zheng, Y.; Zhang, J.; Que, T.; Liu, Z.; Zhang, J.; Wu, Q.; Liu, Y. Correlating Device Behaviors with Semiconductor Lattice Damage at MOS Interface by Comparing Plasma-Etching and Regrown Recessed-Gate Al2O3/GaN MOS-FETs. Appl. Surf. Sci. 2021, 546, 148710. [Google Scholar] [CrossRef]
  135. Ji, D.; Gupta, C.; Chan, S.H.; Agarwal, A.; Li, W.; Keller, S.; Mishra, U.K.; Chowdhury, S. Demonstrating >1.4 KV OG-FET Performance with a Novel Double Field-Plated Geometry and the Successful Scaling of Large-Area Devices. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 9.4.1–9.4.4. [Google Scholar]
  136. Yamada, T.; Ito, J.; Asahara, R.; Watanabe, K.; Nozaki, M.; Nakazawa, S.; Anda, Y.; Ishida, M.; Ueda, T.; Yoshigoe, A.; et al. Comprehensive Study on Initial Thermal Oxidation of GaN(0001) Surface and Subsequent Oxide Growth in Dry Oxygen Ambient. J. Appl. Phys. 2017, 121, 035303. [Google Scholar] [CrossRef]
  137. Duan, T.L.; Pan, J.S.; Ang, D.S. Effect of Post-Deposition Annealing on the Interface Electronic Structures of Al2O3-Capped GaN and GaN/AlGaN/GaN Heterostructure. ECS J. Solid State Sci. Technol. 2015, 4, P364–P368. [Google Scholar] [CrossRef]
  138. Tokuda, H.; Asubar, J.T.; Kuzuhara, M. AlGaN/GaN Metal–Insulator–Semiconductor High-Electron Mobility Transistors with High on/off Current Ratio of over 5 × 1010 Achieved by Ozone Pretreatment and Using Ozone Oxidant for Al2O3 Gate Insulator. Jpn. J. Appl. Phys. 2016, 55, 120305. [Google Scholar] [CrossRef]
  139. Hua, M.; Wei, J.; Tang, G.; Zhang, Z.; Qian, Q.; Cai, X.; Wang, N.; Chen, K.J. Normally-Off LPCVD-SiNx/GaN MIS-FET With Crystalline Oxidation Interlayer. IEEE Electron Device Lett. 2017, 38, 929–932. [Google Scholar] [CrossRef]
  140. Hua, M.; Cai, X.; Yang, S.; Zhang, Z.; Zheng, Z.; Wei, J.; Wang, N.; Chen, K.J. Suppressed Hole-Induced Degradation in E-Mode GaN MIS-FETs with Crystalline GaOxN1-x Channel; IEEE: San Francisco, CA, USA, 2018; pp. 30.3.1–30.3.4. [Google Scholar]
  141. Cai, X.; Hua, M.; Zhang, Z.; Yang, S.; Zheng, Z.; Cai, Y.; Chen, K.J.; Wang, N. Atomic-Scale Identification of Crystalline GaON Nanophase for Enhanced GaN MIS-FET Channel. Appl. Phys. Lett. 2019, 114, 053109. [Google Scholar] [CrossRef]
  142. Qin, X.; Dong, H.; Kim, J.; Wallace, R.M. A Crystalline Oxide Passivation for Al2O3/AlGaN/GaN. Appl. Phys. Lett. 2014, 105, 141604. [Google Scholar] [CrossRef] [Green Version]
  143. Li, M.; Wang, J.; Wang, H.; Cao, Q.; Liu, J.; Huang, C. Improved Performance of Fully-Recessed Normally-off LPCVD SiN/GaN MISFET Using N2O Plasma Pretreatment. Solid-State Electron. 2019, 156, 58–61. [Google Scholar] [CrossRef]
  144. He, Y.; He, Q.; Mi, M.; Zhang, M.; Wang, C.; Yang, L.; Ma, X.; Hao, Y. High Breakdown Electric Field MIS-Free Fully Recessed-Gate Normally Off AlGaN/GaN HEMT With N2O Plasma Treatment. IEEE J. Emerg. Sel. Top. Power Electron. 2021, 9, 2163–2170. [Google Scholar] [CrossRef]
  145. Zhu, J.; Ma, M.; Zhu, Q.; Hou, B.; Chen, L.; Yang, L.; Zhou, X.; Ma, X.; Hao, Y. High Performance Normally-Off Al2O3/AlGaN/GaN MOS-HEMTs Using Diffusion-Controlled Interface Oxidation Technique. In Proceedings of the 2018 1st Workshop on Wide Bandgap Power Devices and Applications in Asia (WiPDA Asia), Xi’an, China, 16–18 May 2018; pp. 135–139. [Google Scholar]
  146. Zhu, J.; Zhang, Y.; Ma, X.; Liu, S.; Jing, S.; Zhu, Q.; Mi, M.; Hou, B.; Yang, L.; Uren, M.J.; et al. Interface Property and Band Offset Investigation of GaN Based MOS Heterostructures with Diffusion-Controlled Interface Oxidation Technique. Semicond. Sci. Technol. 2020, 7, 065071. [Google Scholar] [CrossRef]
  147. Zoroddu, A.; Bernardini, F.; Ruggerone, P.; Fiorentini, V. First-Principles Prediction of Structure, Energetics, Formation Enthalpy, Elastic Constants, Polarization, and Piezoelectric Constants of AlN, GaN, and InN: Comparison of Local and Gradient-Corrected Density-Functional Theory. Phys. Rev. B 2001, 64, 045208. [Google Scholar] [CrossRef] [Green Version]
  148. Hsieh, T.-E.; Chang, E.Y.; Song, Y.-Z.; Lin, Y.-C.; Wang, H.-C.; Liu, S.-C.; Salahuddin, S.; Hu, C.C. Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer. Ieee Electron Device Lett. 2014, 35, 3. [Google Scholar]
  149. Liu, S.; Yang, S.; Tang, Z.; Jiang, Q.; Liu, C.; Wang, M.; Chen, K.J. Al2O3/AlN/GaN MOS-Channel-HEMTs With an AlN Interfacial Layer. IEEE Electron Device Lett. 2014, 35, 723–725. [Google Scholar] [CrossRef]
  150. Smith, M.; Kajiwara, Y.; Ono, H.; Huang, P.-C.; Kato, D.; Mukai, A.; Shindome, A.; Kuraguchi, M. High Mobility in GaN MOSFETs with AlSiO Gate Dielectric and AlN Mobility Enhancement Layer. In Proceedings of the 2021 IEEE 8th Workshop on Wide Bandgap Power Devices and Applications (WiPDA), Redondo Beach, CA, USA, 7–11 November 2021; pp. 283–287. [Google Scholar]
  151. Ito, K.; Tomita, K.; Kikuta, D.; Horita, M.; Narita, T. Improvement of Channel Mobility in AlSiO/GaN MOSFETs Using Thin Interfacial Layers to Reduce Border Traps. In Proceedings of the 2022 International Conference on Solid State Devices and Materials, Makuhari, Japan, 26–29 September 2022; p. 2. [Google Scholar]
  152. Asubar, J.T.; Yatabe, Z.; Gregusova, D.; Hashizume, T. Controlling Surface/Interface States in GaN-Based Transistors: Surface Model, Insulated Gate, and Surface Passivation. J. Appl. Phys. 2021, 129, 121102. [Google Scholar] [CrossRef]
  153. Bernardini, F.; Fiorentini, V.; Vanderbilt, D. Polarization-Based Calculation of the Dielectric Tensor of Polar Crystals. Phys. Rev. Lett. 1997, 79, 3958–3961. [Google Scholar] [CrossRef] [Green Version]
  154. Passlack, M.; Hunt, N.E.J.; Schubert, E.F.; Zydzik, G.J.; Hong, M.; Mannaerts, J.P.; Opila, R.L.; Fischer, R.J. Dielectric Properties of Electron-beam Deposited Ga 2 O 3 Films. Appl. Phys. Lett. 1994, 64, 2715–2717. [Google Scholar] [CrossRef]
  155. Robertson, J.; Falabretti, B. Band Offsets of High K Gate Oxides on III–V Semiconductors. J. Appl. Phys. 2006, 100, 014111. [Google Scholar] [CrossRef]
  156. Mitrovic, I.Z.; Das, P.; Jones, L.; Gibbon, J.; Dhanak, V.R.; Mahapatra, R.; Partida Manzanera, T.; Roberts, J.W.; Potter, R.J.; Chalker, P.R.; et al. Band Line-up of High-k Oxides on GaN. ECS Trans. 2020, 97, 67–81. [Google Scholar] [CrossRef]
  157. Robertson, J. Band Offsets of Wide-Band-Gap Oxides and Implications for Future Electronic Devices. J. Vac. Sci. Technol. B 2000, 18, 1785. [Google Scholar] [CrossRef]
  158. Robertson, J. High Dielectric Constant Oxides. Eur. Phys. J. Appl. Phys. 2004, 28, 265–291. [Google Scholar] [CrossRef] [Green Version]
  159. Ostermaier, C.; Lee, H.-C.; Hyun, S.-Y.; Ahn, S.-I.; Kim, K.-W.; Cho, H.-I.; Ha, J.-B.; Lee, J.-H. Interface Characterization of ALD Deposited Al2O3 on GaN by CV Method. Phys. Stat. Sol. (C) 2008, 5, 1992–1994. [Google Scholar] [CrossRef]
  160. Hori, Y.; Mizue, C.; Hashizume, T. Process Conditions for Improvement of Electrical Properties of Al2O3/n-GaN Structures Prepared by Atomic Layer Deposition. Jpn. J. Appl. Phys. 2010, 49, 080201. [Google Scholar] [CrossRef]
  161. Winzer, A.; Szabó, N.; Wachowiak, A.; Jordan, P.M.; Heitmann, J.; Mikolajick, T. Impact of Postdeposition Annealing upon Film Properties of Atomic Layer Deposition-Grown Al2O3 on GaN. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2015, 33, 01A106. [Google Scholar] [CrossRef] [Green Version]
  162. Fernandes Paes Pinto Rocha, P.; Vauche, L.; Mohamad, B.; Vandendaele, W.; Martinez, E.; Veillerot, M.; Spelta, T.; Rochat, N.; Gwoziecki, R.; Salem, B.; et al. Impact of Post-Deposition Anneal on ALD Al2O3/Etched GaN Interface for Gate-First MOSc-HEMT. Power Electron. Devices Compon. 2023, 4, 100033. [Google Scholar] [CrossRef]
  163. Zhou, H.; Ng, G.I.; Liu, Z.H.; Arulkumaran, S. Improved Device Performance by Post-Oxide Annealing in Atomic-Layer-Deposited Al2O3/AlGaN/GaN Metal–Insulator–Semiconductor High Electron Mobility Transistor on Si. Appl. Phys. Express 2011, 4, 104102. [Google Scholar] [CrossRef]
  164. Yuge, K.; Nabatame, T.; Irokawa, Y.; Ohi, A.; Ikeda, N.; Uedono, A.; Sang, L.; Koide, Y.; Ohishi, T. Influence of Post-Deposition Annealing on Interface Characteristics at Al2O3/n-GaN. In Proceedings of the 2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019, Singapore, 12–15 March 2019; pp. 368–370. [Google Scholar]
  165. Nakazawa, S.; Shih, H.-A.; Tsurumi, N.; Anda, Y.; Hatsuda, T.; Ueda, T.; Kimoto, T.; Hashizume, T. Effects of Post-Deposition Annealing in O2 on Threshold Voltage of Al2O3/AlGaN/GaN MOS Heterojunction Field-Effect Transistors. Jpn. J. Appl. Phys. 2019, 58, 030902. [Google Scholar] [CrossRef]
  166. Zhou, Q.; Liu, L.; Zhang, A.; Chen, B.; Jin, Y.; Shi, Y.; Wang, Z.; Chen, W.; Zhang, B. 7.6 V Threshold Voltage High-Performance Normally-Off Al2O3/GaN MOSFET Achieved by Interface Charge Engineering. Ieee Electron Device Lett. 2016, 37, 4. [Google Scholar] [CrossRef]
  167. Kubo, T.; Miyoshi, M.; Egawa, T. Post-Deposition Annealing Effects on the Insulator/Semiconductor Interfaces of Al2O3/AlGaN/GaN Structures on Si Substrates. Semicond. Sci. Technol. 2017, 32, 065012. [Google Scholar] [CrossRef]
  168. Marron, T.; Takashima, S.; Li, Z.; Chow, T.P. Impact of Annealing on ALD Al2O3 Gate Dielectric for GaN MOS Devices. Phys. Status Solidi C 2012, 9, 907–910. [Google Scholar] [CrossRef]
  169. Asahara, R.; Nozaki, M.; Yamada, T.; Ito, J.; Nakazawa, S.; Ishida, M.; Ueda, T.; Yoshigoe, A.; Hosoi, T.; Shimura, T.; et al. Effect of Nitrogen Incorporation into Al-Based Gate Insulators in AlON/AlGaN/GaN Metal–Oxide–Semiconductor Structures. Appl. Phys. Express 2016, 9, 101002. [Google Scholar] [CrossRef]
  170. Negara, M.A.; Kitano, M.; Long, R.D.; McIntyre, P.C. Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices. ACS Appl. Mater. Interfaces 2016, 8, 21089–21094. [Google Scholar] [CrossRef]
  171. Kang, M.-J.; Eom, S.-K.; Kim, H.-S.; Lee, C.-H.; Cha, H.-Y.; Seo, K.-S. Normally-off Recessed-Gate AlGaN/GaN MOS-HFETs with Plasma Enhanced Atomic Layer Deposited AlOxNy Gate Insulator. Semicond. Sci. Technol. 2019, 34, 055018. [Google Scholar] [CrossRef]
  172. Chen, H.-Y.; Lu, H.-L.; Chen, J.-X.; Zhang, F.; Ji, X.-M.; Liu, W.-J.; Yang, X.-F.; Zhang, D.W. Low-Temperature One-Step Growth of AlON Thin Films with Homogenous Nitrogen-Doping Profile by Plasma-Enhanced Atomic Layer Deposition. ACS Appl. Mater. Interfaces 2017, 9, 38662–38669. [Google Scholar] [CrossRef]
  173. Wang, Q.; Cheng, X.; Zheng, L.; Shen, L.; Li, J.; Zhang, D.; Qian, R.; Yu, Y. PEALD Induced Interface Engineering of AlNO/AlGaN/GaN MIS Diode with Alternate Insertion of AlN in Al2O3. In Proceedings of the 2017 29th International Symposium on Power Semiconductor Devices and IC’s (ISPSD), Sapporo, Japan, 28 May–1 June 2017; pp. 215–218. [Google Scholar]
  174. Wang, Q.; Cheng, X.; Zheng, L.; Ye, P.; Li, M.; Shen, L.; Li, J.; Zhang, D.; Gu, Z.; Yu, Y. Band Alignment between PEALD-AlNO and AlGaN/GaN Determined by Angle-Resolved X-Ray Photoelectron Spectroscopy. Appl. Surf. Sci. 2017, 423, 675–679. [Google Scholar] [CrossRef]
  175. Nozaki, M.; Watanabe, K.; Yamada, T.; Shih, H.-A.; Nakazawa, S.; Anda, Y.; Ueda, T.; Yoshigoe, A.; Hosoi, T.; Shimura, T.; et al. Implementation of Atomic Layer Deposition-Based AlON Gate Dielectrics in AlGaN/GaN MOS Structure and Its Physical and Electrical Properties. Jpn. J. Appl. Phys. 2018, 57, 06KA02. [Google Scholar] [CrossRef] [Green Version]
  176. Hosoi, T.; Azumo, S.; Kashiwagi, Y.; Hosaka, S.; Yamamoto, K.; Aketa, M.; Asahara, H.; Nakamura, T.; Kimoto, T.; Shimura, T.; et al. Comprehensive and Systematic Design of Metal/High-k Gate Stack for High-Performance and Highly Reliable SiC Power MOSFET. Jpn. J. Appl. Phys. 2020, 59, 021001. [Google Scholar] [CrossRef]
  177. Kojima, E.; Chokawa, K.; Shirakawa, H.; Araidai, M.; Hosoi, T.; Watanabe, H.; Shiraishi, K. Effect of Incorporation of Nitrogen Atoms in Al2O3 Gate Dielectric of Wide-Bandgap-Semiconductor MOSFET on Gate Leakage Current and Negative Fixed Charge. Appl. Phys. Express 2018, 11, 061501. [Google Scholar] [CrossRef]
  178. Nakazawa, S.; Shih, H.-A.; Tsurumi, N.; Anda, Y.; Hatsuda, T.; Ueda, T.; Nozaki, M.; Yamada, T.; Hosoi, T.; Shimura, T.; et al. Fast Switching Performance by 20 A/730 V AlGaN/GaN MIS-HFET Using AlON Gate Insulator. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 25.1.1–25.1.4. [Google Scholar]
  179. Choi, M.; Lyons, J.L.; Janotti, A.; Van de Walle, C.G. Impact of Carbon and Nitrogen Impurities in High-κ Dielectrics on Metal-Oxide-Semiconductor Devices. Appl. Phys. Lett. 2013, 102, 142902. [Google Scholar] [CrossRef] [Green Version]
  180. Guo, Z.; Ambrosio, F.; Pasquarello, A. Extrinsic Defects in Amorphous Oxides: Hydrogen, Carbon, and Nitrogen Impurities in Alumina. Phys. Rev. Appl. 2019, 11, 024040. [Google Scholar] [CrossRef] [Green Version]
  181. Hosoi, T.; Azumo, S.; Kashiwagi, Y.; Hosaka, S.; Yamamoto, K.; Aketa, M.; Asahara, H.; Nakamura, T.; Kimoto, T.; Shimura, T.; et al. Reliability-Aware Design of Metal/High-k Gate Stack for High-Performance SiC Power MOSFET. In Proceedings of the 2017 29th International Symposium on Power Semiconductor Devices and IC’s (ISPSD), Sapporo, Japan, 28 May–1 June 2017; pp. 247–250. [Google Scholar]
  182. Nagura, T.; Chokawa, K.; Araidai, M.; Hosoi, T.; Watanabe, H.; Oshiyama, A.; Shiraishi, K. First-Principles Calculations of the Effect of Incorporating Hf Atoms in AlON Gate Dielectrics of Wide-Bandgap-Semiconductor Power Devices on the Hole Leakage Current. In Proceedings of the Extended Abstracts of the 2018 International Conference on Solid State Devices and Materials; The Japan Society of Applied Physics: Tokyo, Japan, 2018. [Google Scholar]
  183. Manchanda, L.; Lee, W.H.; Bower, J.E.; Baumann, F.H.; Brown, W.L.; Case, C.J.; Keller, R.C.; Kim, Y.O.; Laskowski, E.J.; Morris, M.D.; et al. Gate Quality Doped High K Films for CMOS beyond 100 Nm: 3–10 Nm Al2O3 with Low Leakage and Low Interface States. In Proceedings of the International Electron Devices Meeting 1998; Technical Digest (Cat. No.98CH36217); IEEE: San Francisco, CA, USA, 1998; pp. 605–608. [Google Scholar]
  184. Komatsu, N.; Masumoto, K.; Aoki, H.; Kimura, C.; Sugino, T. Characterization of Si-Added Aluminum Oxide (AlSiO) Films for Power Devices. Appl. Surf. Sci. 2010, 256, 1803–1806. [Google Scholar] [CrossRef]
  185. Chan, S.H.; Tahhan, M.; Liu, X.; Bisi, D.; Gupta, C.; Koksaldi, O.; Li, H.; Mates, T.; DenBaars, S.P.; Keller, S.; et al. Metalorganic Chemical Vapor Deposition and Characterization of (Al,Si)O Dielectrics for GaN-Based Devices. Jpn. J. Appl. Phys. 2016, 55, 021501. [Google Scholar] [CrossRef]
  186. Gupta, C.; Chan, S.H.; Agarwal, A.; Hatui, N.; Keller, S.; Mishra, U.K. First Demonstration of AlSiO as Gate Dielectric in GaN FETs; Applied to a High Performance OG-FET. IEEE Electron Device Lett. 2017, 38, 1575–1578. [Google Scholar] [CrossRef]
  187. Sayed, I.; Liu, W.; Georgieva, J.; Krishna, A.; Keller, S.; Mishra, U.K. Characterization of AlSiO Dielectrics with Varying Silicon Composition for N-Polar GaN-Based Devices. Semicond. Sci. Technol. 2020, 35, 095027. [Google Scholar] [CrossRef]
  188. Kikuta, D.; Itoh, K.; Narita, T.; Mori, T. Al2O3/SiO2 Nanolaminate for a Gate Oxide in a GaN-Based MOS Device. J. Vac. Sci. Technol. A Vac. Surf. Film. 2016, 35, 01B122. [Google Scholar] [CrossRef]
  189. Ito, K.; Kikuta, D.; Narita, T.; Kataoka, K.; Isomura, N.; Kitazumi, K.; Mori, T. Band Offset of Al1-xSixOy Mixed Oxide on GaN Evaluated by Hard X-Ray Photoelectron Spectroscopy. Jpn. J. Appl. Phys. 2017, 56, 04CG07. [Google Scholar] [CrossRef]
  190. Kikuta, D.; Ito, K.; Narita, T.; Kachi, T. Highly Reliable AlSiO Gate Oxides Formed through Post-Deposition Annealing for GaN-Based MOS Devices. Appl. Phys. Express 2020, 13, 026504. [Google Scholar] [CrossRef]
  191. Ito, K.; Tomita, K.; Kikuta, D.; Horita, M.; Narita, T. Analysis of Channel Mobility in GaN-Based Metal-Oxide-Semiconductor Field-Effect Transistors. J. Appl. Phys. 2021, 129, 084502. [Google Scholar] [CrossRef]
  192. Chokawa, K.; Narita, T.; Kikuta, D.; Shiozaki, K.; Kachi, T.; Oshiyama, A.; Shiraishi, K. Absence of Oxygen-Vacancy-Related Deep Levels in the Amorphous Mixed Oxide (Al2O3)1−x(SiO2)x: First-Principles Exploration of Gate Oxides in GaN-Based Power Devices. Phys. Rev. Appl. 2020, 14, 014034. [Google Scholar] [CrossRef]
  193. Dicks, O.A.; Cottom, J.; Shluger, A.L.; Afanas’ev, V.V. The Origin of Negative Charging in Amorphous Al2O3 Films: The Role of Native Defects. Nanotechnology 2019, 30, 205201. [Google Scholar] [CrossRef]
  194. Guo, Z.; Ambrosio, F.; Pasquarello, A. Oxygen Defects in Amorphous Al2O3: A Hybrid Functional Study. Appl. Phys. Lett. 2016, 109, 062903. [Google Scholar] [CrossRef] [Green Version]
  195. Chokawa, K.; Shiraishi, K.; Oshiyama, A. Defect-Free Interface between Amorphous (Al2O3)1−x(SiO2)x and GaN(0001) Revealed by First-Principles Simulated Annealing Technique. Appl. Phys. Lett. 2021, 119, 011602. [Google Scholar] [CrossRef]
  196. Hu, Q.; Hu, B.; Gu, C.; Li, T.; Li, S.; Li, S.; Li, X.; Wu, Y. Improved Current Collapse in Recessed AlGaN/GaN MOS-HEMTs by Interface and Structure Engineering. IEEE Trans. Electron Devices 2019, 66, 4591–4596. [Google Scholar] [CrossRef]
  197. Li, S.; Hu, Q.; Wang, X.; Li, T.; Li, X.; Wu, Y. Improved Interface Properties and Dielectric Breakdown in Recessed AlGaN/GaN MOS-HEMTs Using HfSiOx as Gate Dielectric. IEEE Electron Device Lett. 2019, 40, 295–298. [Google Scholar] [CrossRef]
  198. Nabatame, T.; Maeda, E.; Inoue, M.; Yuge, K.; Hirose, M.; Shiozaki, K.; Ikeda, N.; Ohishi, T.; Ohi, A. Hafnium Silicate Gate Dielectrics in GaN Metal Oxide Semiconductor Capacitors. Appl. Phys. Express 2019, 12, 011009. [Google Scholar] [CrossRef]
  199. Ochi, R.; Maeda, E.; Nabatame, T.; Shiozaki, K.; Sato, T.; Hashizume, T. Gate Controllability of HfSiOx/AlGaN/GaN MOS High-Electron-Mobility Transistor. AIP Adv. 2020, 10, 065215. [Google Scholar] [CrossRef]
  200. Miyazaki, S.; Ohta, A. Photoemission-Based Characterization of Gate Dielectrics and Stack Interfaces. ECS Trans. 2019, 92, 11–19. [Google Scholar] [CrossRef]
  201. Dutta Gupta, S.; Soni, A.; Joshi, V.; Kumar, J.; Sengupta, R.; Khand, H.; Shankar, B.; Mohan, N.; Raghavan, S.; Bhat, N.; et al. Positive Threshold Voltage Shift in AlGaN/GaN HEMTs and E-Mode Operation By AlxTi1-xO Based Gate Stack Engineering. IEEE Trans. Electron Devices 2019, 66, 2544–2550. [Google Scholar] [CrossRef]
  202. Nguyen, D.D.; Suzuki, T. Interface Charge Engineering in AlTiO/AlGaN/GaN Metal–Insulator–Semiconductor Devices. J. Appl. Phys. 2020, 127, 094501. [Google Scholar] [CrossRef]
  203. Nguyen, D.D.; Isoda, T.; Deng, Y.; Suzuki, T. Normally-off Operations in Partially-Gate-Recessed AlTiO/AlGaN/GaN Field-Effect Transistors Based on Interface Charge Engineering. J. Appl. Phys. 2021, 130, 014503. [Google Scholar] [CrossRef]
  204. Dutta Gupta, S.; Joshi, V.; Roy Chaudhuri, R.; Shrivastava, M. Observations Regarding Deep-Level States Causing p-Type Doping in AlTiO Gate and Positive Threshold Voltage Shift in AlGaN/GaN High Electron Mobility Transistors. J. Appl. Phys. 2021, 130, 015701. [Google Scholar] [CrossRef]
Figure 1. Structure for (a) normally-ON GaN HEMT and different topologies of normally-ON GaN HEMTs: (b) p-GaN gate; (c) Fluorine implanted gate; (d) Partially recessed MIS gate; (e) Fully recessed MIS gate or MOSc-HEMT; (f) Cascode configuration (schematic inspired by [10]). Energy band diagrams are represented alongside the structures.
Figure 1. Structure for (a) normally-ON GaN HEMT and different topologies of normally-ON GaN HEMTs: (b) p-GaN gate; (c) Fluorine implanted gate; (d) Partially recessed MIS gate; (e) Fully recessed MIS gate or MOSc-HEMT; (f) Cascode configuration (schematic inspired by [10]). Energy band diagrams are represented alongside the structures.
Energies 16 02978 g001aEnergies 16 02978 g001b
Figure 2. Different types of defects and impurities effectively or hypothetically encountered with fully recessed MIS gate.
Figure 2. Different types of defects and impurities effectively or hypothetically encountered with fully recessed MIS gate.
Energies 16 02978 g002
Figure 3. GaN surface before and after etching observed by AFM. The etching process is similar to the process used in [21].
Figure 3. GaN surface before and after etching observed by AFM. The etching process is similar to the process used in [21].
Energies 16 02978 g003
Figure 4. Rsheet measurements of the 2DEG after ICP-RIE etching or ICP-RIE with ALE etching [35].
Figure 4. Rsheet measurements of the 2DEG after ICP-RIE etching or ICP-RIE with ALE etching [35].
Energies 16 02978 g004
Figure 5. General concept of ALE with reaction A (chlorination of the GaN surface) and reaction B (removal of the modified surface with Ar plasma).
Figure 5. General concept of ALE with reaction A (chlorination of the GaN surface) and reaction B (removal of the modified surface with Ar plasma).
Energies 16 02978 g005
Figure 6. Different planes from the Wurtzite GaN crystal structure (hexagonal symmetry).
Figure 6. Different planes from the Wurtzite GaN crystal structure (hexagonal symmetry).
Energies 16 02978 g006
Figure 7. XPS measurements of Ga3d and Al2p spectra from Al2O3/GaN interfaces (a) with optimized RPP, (b) with RPP having insufficient nitridation, and (c) without RPP (© 2023 IEEE. Reprinted, with permission, from [116]).
Figure 7. XPS measurements of Ga3d and Al2p spectra from Al2O3/GaN interfaces (a) with optimized RPP, (b) with RPP having insufficient nitridation, and (c) without RPP (© 2023 IEEE. Reprinted, with permission, from [116]).
Energies 16 02978 g007
Figure 8. Trend of VTH with gallium oxidation after different wet treatment on etched GaN (from [91]).
Figure 8. Trend of VTH with gallium oxidation after different wet treatment on etched GaN (from [91]).
Energies 16 02978 g008
Figure 9. Band lineups of different dielectrics with GaN alongside their respective dielectric constant (Refs. [153,154,155,156]).
Figure 9. Band lineups of different dielectrics with GaN alongside their respective dielectric constant (Refs. [153,154,155,156]).
Energies 16 02978 g009
Figure 10. For both Al2O3 and AlON deposited in a MOSc-HEMT: (a) VTH shift with positive bias stress at room temperature and at 150 °C (b) field effect mobility extracted for a VDS at 0.1 V [171] (© IOP Publishing. Reproduced with permission. All rights reserved).
Figure 10. For both Al2O3 and AlON deposited in a MOSc-HEMT: (a) VTH shift with positive bias stress at room temperature and at 150 °C (b) field effect mobility extracted for a VDS at 0.1 V [171] (© IOP Publishing. Reproduced with permission. All rights reserved).
Energies 16 02978 g010
Figure 11. VFB shift under positive bias stress at both (a) room temperature and (b) 150 °C for AlSiO (21%Si)/n-GaN MOSCAPs under different PDA temperatures (different symbols and colors per PDA temperature) [190] (© The Japan Society of Applied Physics. Reproduced by permission of IOP Publishing Ltd. All rights reserved).
Figure 11. VFB shift under positive bias stress at both (a) room temperature and (b) 150 °C for AlSiO (21%Si)/n-GaN MOSCAPs under different PDA temperatures (different symbols and colors per PDA temperature) [190] (© The Japan Society of Applied Physics. Reproduced by permission of IOP Publishing Ltd. All rights reserved).
Energies 16 02978 g011
Figure 12. (a) VTH in function of AlxTiyO thickness in partially recessed MIS Gate for different Al content. Increasing Ti content up to 27% combined with partial AlGaN recess reduce positive charges at the interface (Reprinted from [203], with the permission of AIP Publishing); (b) ID–VGs transfer characteristic for Al2O3, TiO2, Al0.2Ti0.8Oy, and Al0.5Ti0.5Oy on HEMTs. Decreasing Ti content up to 52% increase VTH in comparison to Al2O3, TiO2, and Al0.2Ti0.8Oy (Reprinted from [204], with the permission of AIP Publishing).
Figure 12. (a) VTH in function of AlxTiyO thickness in partially recessed MIS Gate for different Al content. Increasing Ti content up to 27% combined with partial AlGaN recess reduce positive charges at the interface (Reprinted from [203], with the permission of AIP Publishing); (b) ID–VGs transfer characteristic for Al2O3, TiO2, Al0.2Ti0.8Oy, and Al0.5Ti0.5Oy on HEMTs. Decreasing Ti content up to 52% increase VTH in comparison to Al2O3, TiO2, and Al0.2Ti0.8Oy (Reprinted from [204], with the permission of AIP Publishing).
Energies 16 02978 g012
Table 1. Characterizations methods for dry plasma induced damage in AlGaN/GaN materials or degradation of devices performances.
Table 1. Characterizations methods for dry plasma induced damage in AlGaN/GaN materials or degradation of devices performances.
Characterization TechniqueMaterial Damage or Degradation of the Device PerformanceRef.
AFMRoughness of AlGaN surface[30,31]
PhotoluminescenceIncrease of photoluminescence intensity ratio of YL/BE[24]
CathodoluminescenceDegradation of the AlGaN Near Band Edge signal intensity[31]
Depth Resolved Cathodoluminescence Spectroscopy (DRCLS)VN (@ EC −0.9 eV) and C defects in the p-GaN layer[32]
Electrochemical Impedance SpectroscopyDecrease in built-in potential (Vbi) at the nGaN/electrolyte Interface[25,33]
Sheet resistance measurement (Rsheet) with 4-probe equipment on GaN/AlN/AlGaN/GaN samplesIncrease of 2DEG sheet resistance[20,24,34,35,36]
Schottky diodesDecrease of Schottky barrier height ΦB[25]
MOS capacitors or transistorsIncrease of interface state density (Dit)[26,27,28,30,37]
Lower electron mobility[27,37]
Increase of leakage current[26]
Lower threshold voltage[23,37]
Table 2. GaN surface treatments and their impact on contamination removal, etch-induced damage removal. The abbreviation “Y” and “N” are for “Yes” and “No”, respectively.
Table 2. GaN surface treatments and their impact on contamination removal, etch-induced damage removal. The abbreviation “Y” and “N” are for “Yes” and “No”, respectively.
Surface
Treatment
Anisotropic
Etching
(Y/N)
Oxide
Removal
(Y/N)
Carbon
Removal
(Y/N)
Dry Etching
Damage
Removal
(Y/N)
Impact on
Roughness and
Incorporation of
Impurities
Impact
on
Device
TMAHY [78,79,80] Y (sidewall [81])
N (planar [26])
Removal of F [82]
Removal of plasma damage [83]
Positive VTH shift [84]
Improved mobility [83]
KOHY [85,86]
NaOH Y [37] Positive VTH shift [37]
NH4OH Y [21,87,88,89,90,91]Y [90]
N [87,88,92]
Y (100 °C [23]) Positive VTH shift [23,91]
HCl Y [21,89,93,94,95]N [89,96,97]Y (70 °C [98])Incorporation of Cl [21,94,99]
Detrimental impact on ALD nucleation [100]
Large hysteresis [100]
HF Y [97,101]Y [102]
N [96,97]
Incorporation of F [97]
H2O2:H2SO4 Y [97]
N [96]
Y [96,97,102] Smoothing [97,102]Reduction of hysteresis [100,102]
H3PO4Y [21,103]Y [21]Y [21]Y [21]Incorporation of P [21,103]
(NH4)2S Y [21]N [97,102] Incorporation of S [104]Reduction of hysteresis [105]
UV/O3 N [106]Y [107]
O2 plasma Y [99] Removal of Cl [99]
N2 annealing Y [38,45]Removal of Cl [99]
NH3 annealing Y [108,109]
H2S annealing Incorporation of S [110]Negative VTH shift [110]
Ar plasma Reduction of hysteresis [111,112]
NH3 plasma Y [87]Y [87] Reduction of hysteresis [113]
N2 plasma Y [88,114,115]Y [23,24]Incorporation of N [88,115]
H2 plasma Y [116]Y [116] Formation of Ga droplets [116]
Table 3. Summary of mentioned MOSCAPs.
Table 3. Summary of mentioned MOSCAPs.
DielectricSubstrate Wet CleaningDeposition TechniqueAnnealingVFB (V)ΔVFB (mV)Dit (cm−2∙eV−1)Ref.
6 nm Al2O3n-GaN (Si:5 × 1018 cm−3) NH4OHALDPMA 400 °C N2/H2 15 min~1.101012 (EC − ET = 0.5 eV)[170]
5 nm AlON (6.2% N)PEALD~1.4401013 (EC − ET = 0.5 eV)
11.5 nm AlONAlGaN/GaN
Acetone cleaning
PEALD nanolaminatesPDA 600 °C N2 30 s
PMA 400 °C N2/H2 3 min
+1.5 compared to Al2O3/1013–1011
(EC − ET = 0.42–0.54 eV)
[173]
10.5 nm AlON (~8% N)AlGaN/GaN
5 min of 5% HCl
ALDPDA 800 °C N2 3 min
PMA 600 °C N2 3 min
///[175]
25 nm Al2O3n-GaN (2 × 1017 cm−3)MOCVD/−1.210(after 10 min at +4 V)4.9 × 1012
(EC − ET = 0.15 to 2 eV)
[185]
25 nm AlSiO (~44% Si)−2.43 (after 10 min at +4 V)6.4 × 1011
(EC − ET = 0.15 to 2 eV)
25 nm Al2O3n-GaN (2 × 1017 cm−3)MOCVD/0.28/5.3 × 1012
(EC − ET = 0.15 eV)
[186]
25 nm AlSiO (28% Si)−4.3/1.9 × 1012
(EC − ET = 0.15 eV)
24 nm AlSiO (~46% Si)N-face n-GaN (2.5 × 1017 cm−3)MOCVD//45/[187]
20 nm Al2O3n-GaN (Si:1 × 1017 cm−3)
1% HF
PEALDPDA 650 °C N2 1 hr
PMA 400 °C N2 5 min
−0.5/5.8 × 1011
(EC – ET = 0.7 eV)
[188]
20 nm AlSiO (21% Si)PEALD nanolaminates−0.3/7.8 × 1011
(EC – ET = 0.7 eV)
40 nm AlSiO (22% Si)n-GaN (Si:1 × 1017 cm−3)
1% HF
PEALD nanolaminatesPDA 950 °C N2 10 minNegative shift compared to ideal curve//[190]
20 nm HfO2Etched GaN
HCl
ALD//2002.5 × 1013
(EC − ET = 0.37 eV)
[197]
20 nm HfSiOXEtched GaN
HCl
ALD
nanolaminates
/1501.6 × 1012
(EC -ET = 0.37 eV)
25.7 nm HfO2n-GaN (1.3 × 1018 cm−3)
Piranha + buffered HF
PEALDPDA 800 °C N2 5 min2.056006 × 1013–4 × 1011
(EC − ET = 0.12–0.58 eV)
[198]
23 nm HfSiOx
(43% Si)
PEALD
nanolaminates
0.63708 × 1011–2 × 1011
(EC -ET = 0.15–0.6 eV)
Table 4. Summary of mentioned fully recessed MIS gate HEMTs.
Table 4. Summary of mentioned fully recessed MIS gate HEMTs.
SubstrateEtchingSurface PreparationInterfacial LayerDielectricAnnealing After
Deposition
VTH (V)ΔVTH (mV)Mobility μFE (cm2∙V−1∙s−1)Dit (cm−2∙eV−1)Ref.
Si/GaN/AlN/AlGaNICP-RIE: Cl basedNH4OH 0.6% 75 °C/Al2O3/0.6 a///[91]
Sapphire/GaN/Al0.26Ga0.74NICP-RIETMAH 5% 90 °C 1 hr/Al2O3Ohmic contact: 30 s 800 °C N23.5 b///[83]
Si/Al(Ga)N/GaN/AlN/Al0.2Ga0.8N/GaN/AlN/Al0.26Ga0.74/GaNALE: Oxidation
+ KOH
//Al2O3PDA: 10 min 400 °C O20.4 a200396/[74]
Si/AlN/AlGaN/GaN/Al0.2Ga0.8NSelective Area GrowthUV treatment + acid solution/Al2O3PDA under N2~0.5 c~0//[133]
Si/GaN/AlN/AlGaNSelective Area GrowthHF/H2SO4/HCl/Al2O3Ohmic contact: 30 s 850 °C N22.6 b/80Lowest = 9 × 1012
Highest = 1 × 1013
[134]
Sapphire/GaN/AlN/Al0.25Ga0.75N/GaNICP-RIE: BCl3/Cl2NH3:H2O +
Oxidation through thin Al2O3 + HCl wet etch
/Al2O3PMA: 5 min 450 °C O22.8 a30048/[63]
Si/GaN/AlN/Al0.25Ga0.75N/GaNICP-RIE + digital etching/ICP/RF 5/10W + O2 plasma + NH3 annealing: GaONSiNxOhmic contact: 30 s 850 °C N21.15 a200150Lowest = 3 × 1012
Highest = 1 × 1013
[139]
Sapphire/GaN/
Al0.23Ga0.77N/GaN
Wet etching/PECVD RF 200W N2O:
GaON
SiNxOhmic contact: 30 s 870 °C N21.2 a//Lowest = ~3 × 1012
Highest = 1 × 1013
[143]
SiC/GaN/AlN/Al0.25Ga0.75NICP-RIE: BCl3/Cl2/PECVD 300W: N2OAl2O3Ohmic contact: 30 s 840 °C 1.5 b/658Lowest = 1.5 × 1011
Highest = 8 × 1012
[144]
Si/GaN/AlN/Al0.25Ga0.75NDigital etching:
O2 plasma + HCl
RPPAlNAl2O3PDA: 500 °C O20.3 a9001651011 ~ 1012[149]
Si/GaN/ALN/AlGaNICP-RIE: BCl3/Cl2
Digital etching:
O2 plasma + HCl
//Al2O3PDA: 10 min 400 °C N25.2 a40070/[166]
Si/GaN/AlN/AlGaNICP-RIE: BCl3/Cl2//AlONPDA: 10 min 500 °C N2
PMA: 10 min 400 °C N2/H2
2.25 c180235Lowest = 3.5 × 1011
Highest = 1 × 1013
[171]
Si/GaN/Al0.25Ga0.75NICP-RIE: SF6 BCl3/Cl2/AlNAl0.23Si0.77OPDA~0.5 c/1000 (µeff)/[150]
Si/GaN/AlN/Al0.25Ga0.75NALE: O2 + BCl3 plasma//HfSiOx/2.1 b/426Lowest = 3 × 1011
Highest = 6 × 1012
[196]
VTH extracted by: a normalized fixed IDS current; b linear extrapolation; c extraction technique not mentioned.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Fernandes Paes Pinto Rocha, P.; Vauche, L.; Pimenta-Barros, P.; Ruel, S.; Escoffier, R.; Buckley, J. Recent Developments and Prospects of Fully Recessed MIS Gate Structures for GaN on Si Power Transistors. Energies 2023, 16, 2978. https://doi.org/10.3390/en16072978

AMA Style

Fernandes Paes Pinto Rocha P, Vauche L, Pimenta-Barros P, Ruel S, Escoffier R, Buckley J. Recent Developments and Prospects of Fully Recessed MIS Gate Structures for GaN on Si Power Transistors. Energies. 2023; 16(7):2978. https://doi.org/10.3390/en16072978

Chicago/Turabian Style

Fernandes Paes Pinto Rocha, Pedro, Laura Vauche, Patricia Pimenta-Barros, Simon Ruel, René Escoffier, and Julien Buckley. 2023. "Recent Developments and Prospects of Fully Recessed MIS Gate Structures for GaN on Si Power Transistors" Energies 16, no. 7: 2978. https://doi.org/10.3390/en16072978

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop