Next Article in Journal
AI-Based Detection of Aspiration for Video-Endoscopy with Visual Aids in Meaningful Frames to Interpret the Model Outcome
Next Article in Special Issue
A Flexible Pressure Sensor with a Mesh Structure Formed by Lost Hair for Human Epidermal Pulse Wave Monitoring
Previous Article in Journal
Ultrasound Probe and Hand-Eye Calibrations for Robot-Assisted Needle Biopsy
Previous Article in Special Issue
Synthesis of High-Input Impedance Electronically Tunable Voltage-Mode Second-Order Low-Pass, Band-Pass, and High-Pass Filters Based on LT1228 Integrated Circuits
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Design of Precision-Aware Subthreshold-Based MOSFET Voltage Reference

School of EEE, Nanyang Technological University, Singapore 639798, Singapore
*
Author to whom correspondence should be addressed.
Sensors 2022, 22(23), 9466; https://doi.org/10.3390/s22239466
Submission received: 11 November 2022 / Revised: 30 November 2022 / Accepted: 1 December 2022 / Published: 3 December 2022
(This article belongs to the Special Issue Feature Papers in Electronic Sensors)

Abstract

:
A new precision-aware subthreshold-based MOSFET voltage reference is presented in this paper. The circuit was implemented TSMC−40 nm process technology. It consumed 9.6 μ W at the supply voltage of 1.2 V. In this proposed work, by utilizing subthreshold-based MOSFET instead of bipolar junction transistor (BJT), relatively lower power consumption was obtained in the design while offering comparable precision to that offered by its BJT counterpart. Through the proposed second-order compensation, it achieved the temperature coefficient (T.C.) of 3.0 ppm/ °C in the TT corner case and a 200-sample Monte-Carlo T.C. of 12.51 ppm/ °C from −40 °C to 90 °C . This shows robust temperature insensitivity. The process sensitivity of V r e f without and with trimming was 2.85% and 0.75%, respectively. The power supply rejection (PSR) was 71.65 dB at 100 Hz and 52.54 dB at 10 MHz. The Figure-of-Merit (FOM) for the total variation in output voltage was comparable with representative BJT circuits and better than subthreshold-based MOSFET circuits. Due to low T.C., low process sensitivity, and simplicity of the circuit architecture, the proposed work will be useful for sensor circuits with stringent requirements or other analog circuits that require high precision applications.

1. Introduction

With CMOS technology being scaled down to deep sub-micron levels, the design of a precise voltage reference with a small temperature coefficient (T.C.) under a wide temperature range has become a challenging topic. For analog circuits and digital circuits, especially in the sensor applications, the voltage reference will influence the performance and accuracy of the entire system directly. Therefore, a quality voltage reference with high-order temperature compensation will be needed by many high-performance electronic systems, such as the example as depicted in Figure 1. In general, the voltage reference design can be classified into bandgap reference (BGR) circuits [1,2,3,4,5,6,7,8,9,10,11,12] and subthreshold-based MOSFET voltage reference circuits [13,14,15,16]. They are utilized in applications that include analog-to-digital converters (ADC) [11], digital-to-analog converters (DAC) [12], sensor applications [13,14,15,16], and so forth.
The bipolar junction transistor (BJT), or parasitic BJT in CMOS technology, is the most common device in BGR circuit design, due to process characteristics [17] that are able to provide reasonably stable voltage. Unfortunately, the drawbacks of BJTs are obvious in many circumstances. First, BGR circuits often need higher supply voltages because of their turn-on voltage of about 0.7 V between base and emitter. Hence, being dependent on circuit architecture, the circuit’s minimum supply voltage may be pushed higher due to the high value of the turn-on voltage. Second, the collector current of a BJT is usually higher than the drain current of a MOSFET [18]. As such, BJT-based BGRs have to consume more power. This is mainly because the current gain β is a function of the collector current I C . This suggests that BGRs with BJTs have to be designed with optimal collector currents to attain good thermal stability, as well as reduced circuit sensitivity. As a result, significant effort around the optimization design tradeoff is often encountered for thermal stability and power consumption. Third, for very large-scale integration (VLSI) circuit design today, parasitic vertical BJTs are popularly used, but they suffer from some performance pitfalls, such as poor current gain, low output resistance, big series base resistance, and so forth [19]. These unfavorable attributes may lead to complicated circuit realization [20,21] for improved compensation performance at the expense of increased power consumption. Regarding other design approaches to tackle the lower-power low-voltage design concern, some researchers proposed the picowatt 2-Transistor (2T) voltage reference [22]. This simple topology produces good reference voltage without using any amplifiers. Not only does it take up less chip area, it consumes ultra-low power consumption. Hence, it is very attractive for sensor applications which rely on limited energy source, such as biomedical applications or wireless sensor nodes. As reported by [22], the average T.C. after trimming was about 29 ppm/ °C . This is considered acceptable in many applications. However, this type of reference circuits generally yields too low in the output voltage, which ranges between 100 and 200 mV. Despite the stacked design being able to scale the output voltage, both T.C. and output voltage variation will be increased as well. Alternatively, in order to generate the reference voltage with low T.C. and larger output voltage while addressing low power consumption at the same time, the replacement of BJTs with the subthreshold-based MOSFETs in the voltage reference circuits [23,24] are popular in design. Theoretically, the drain current for a sub-threshold MOSFET can be expressed as
I D = I D 0 exp V G S V T H N n V T
where I D 0 = n 1 μ n C o x W L V T 2 , n is the subthreshold slop factor, for which n = C o x + C J 0 C o x 1.5 in CMOS technology, μ n is the carrier mobility for electrons, C o x is the gate capacitor, and V T = K T q is the thermal voltage, with the assumption that V D S > 4 V T . As can be seen, the MOSFET exhibits the BJT’s exponential behavior. The key advantages are low bias current and small V G S value, which lead to lower supply design and low power dissipation with respect to its BJT counterpart. By adding the second-order temperature compensation in the circuit [24], the T.C. can be pushed lower. However, it suffers from a higher variation in output reference voltage, due to relatively higher sensitivity to process variation using this circuit topology.
This raises the motivation of this work to propose the design and implementation of an improved voltage reference circuit that addresses the power consumption comparable to the subthreshold-based MOSFET designs, while the total precision is comparable with that of the BJT-based designs. In this work, a new subthreshold-based voltage reference that offers simpler circuit architecture with respect to that of the reported works of [2,3,4,5,6,7,18,19,20,21,22,23,24,25,26,27] is introduced. It permits good precision, which is achieved by low T.C., low process sensitivity, and simple trimming means while consuming low power.
Section 1 gives the introduction. Section 2 reviews the representative voltage reference circuits at different types. Section 3 presents the proposed voltage reference in conjunction with its design, analysis, and implementation. Section 4 presents the results and discussions of the voltage reference and its performance comparison with the reported prior works using parasitic BJT design or sub-threshold MOSFET design. This is then followed by a conclusion in Section 5.

2. Representative Voltage References

Figure 2 shows a current-mode BGR [21] employing the curvature compensation. The PTAT current is produced by Q 1 , Q 2 , R 1 , and A 1 , whereas the CTAT current is produced by Q 1 , A 2 , and R 2 . Through the associated PMOS current mirrors, the CTAT current and PTAT current are combined, and thus, the reference voltage, V r e f , is generated through the resistor   R 0 . For improving the precision, the auto-zero technique is realized to cancel the offset of operational amplifiers A 1 and A 2 . Besides, the multi-sectional curvature compensation is employed to correct the high-order temperature coefficient. This leads to an average T.C. of 8.75 ppm/ °C and a process sensitivity of 0.54%. Although the output has good precision, the circuit consumes significant current consumptions of 120 μA, which are considerably high.
A sub-1V MOS threshold voltage circuit was proposed [24] to reduce the power consumption through the subthreshold-based design while addressing low supply voltage and low T.C. using second-order temperature compensation. Figure 3 shows the circuit implementation. M 1 and M 2 work in the sub-threshold region. V A is forced to be equal to V B though an amplifier in a loop. The MOSFET’s V G S T comprises the dominant first-order negative T.C. and small positive T.C. in second-order form. The PTAT voltage contributed by Δ V G S T , R 1 , and R 2 will cancel the first-order negative T.C. arising from V G S T . On the other hand, the positive T.C. of resistor R 5 in the PTAT current generator and the negative T.C. of resistor R 2 in the reference circuit will form the small negative quadratic T.C. term to counteract the positive quadratic T.C. term in the V G S T . As a result, the average T.C. is 40 ppm/ °C without trimming, and the output process sensitivity is 3.4 % while consuming 290 nW. Due to the mismatch effect of current mirrors in the PTAT current generator, the PTAT compensating current will be deviated. As such, it may be difficult to push the T.C. lower.
2-Transistor voltage reference [22] in Figure 4 is another representative design in low power circuits. This topology consists of two transistors, M 1 and M 2 , which operate in the sub-threshold region. M 1 is a native transistor, and it functions as the current generator with exponential VI conversion. M 2 serves as an active load with an inverse IV characteristic. As a result, a constant reference output voltage can be established through both nonlinear VI and IV conversion processes. Due to first-order temperature compensation, the average T.C. is about 62 ppm/ °C without trimming. After trimming, the average T.C. is about 29 ppm/ °C . This T.C. performance metric generally meets many applications. Although the circuit features an ultra-low power consumption of 2.2 pW at 0.5 V supply voltage, the generated output reference voltage is merely 176 mV, due to the diode transistor output. However, for designs with higher output voltage requirements, this may not be adequate. Nevertheless, the stacked topology can increase the output voltage in exchange for increased T.C. and higher variation in output voltage, due to increased circuit sensitivity.

3. Proposed Second-Order Temperature Compensated Voltage Reference

3.1. Temperature Compensation of Proposed Voltage Reference

The proposed voltage reference circuit is depicted in Figure 5. In contrast to the topology [24] in Figure 3, the PTAT current source is embedded within the reference circuit, which leads to further simplification without a separate PTAT current generator and extra current mirror. The proposed voltage-mode voltage reference comprises an operational amplifier, two MOS transistors, four temperature compensation resistors having two types of T.C values, one resistor scaling network for output voltage, and two PSR capacitors. When referring to Figure 5, the reference circuit output can be obtained as:
V r e f T = Δ V G S B T R 3 T R 1 T + Δ V G S B T R p p o l y T R 1 T + V G S B 2 T 1 + R 5 T R 4 T
As indicated, Δ V G S B T R 3 T R 1 T and Δ V G S B T R p p o l y T R 1 T are used to compensate the temperature effect of V G S B 2 T . With reference to [24], for the MOSFET to operate at the sub-threshold region, V G S B 2 T exhibits a complementary-to-absolute-temperature (CTAT) characteristic. Hence, V G S B 2 T can be expressed as follows:
V G S B 2 T = V T H 02 m 1 n k B T r 2 q + V G S 2 T r V T H 02 T T r + m 1 n k B 2 q T r T 2
where T r is the reference temperature, V T H 02 is the intrinsic threshold voltage, m 1.5 2 is the temperature exponent of mobility, and k B is the Boltzmann constant. From the above extrapolation, it can be interpreted that V G S B 2 T can be broken down into two temperature-dependent parts. V G S B 2 T r V T H 02 T T r is the negative linear temperature component and m 1 n k B 2 q T r T 2 is the positive quadratic component. Similarly, V G S B 1 T can be expressed as follows:
V G S B 1 T = V T H 01 m 1 n k B T r 2 q + V G S 1 T r V T H 01 T T r + m 1 n k B 2 q T r T 2
For M B 1 and M B 2 operating in the sub-threshold region and having different aspect ratios, with   W / L 1   > W / L 2 , it can be proved that the difference of gate-to-source voltage [25] is given as:
Δ V G S B = V G S B 2 T V G S B 1 T = n V T I n M
where M = W / L 1 W / L 2 , and n and V T = K T q . It can be observed that Δ V G S B T cancels the first-order and second-order temperature-dependent terms in V G S B 1 T and V G S B 2 T , which leaves a constant that has the PTAT property.
Consider R 1 T R 5 T as the same type of PTAT resistor (high resistive n-poly resistor) and R p p o l y T as a CTAT resistor (high resistive p-poly resistor). The two types of temperature-dependent resistors are modelled as follows:
R p p o l y T = R p p o l y T r 1 + α T T r
R 1 T = R 1 T r 1 + β T T r
where α is the T.C. of R p p o l y , β is the T.C. of R 1 , and T r is room temperature, and it is a constant. From the Process Design Kit, α and β are obtained as 4.7837 × 10 5 / K and 1.476 × 10 4 / K , respectively. When accounting for the temperate effect for the ratio of resistors having different types, the temperature components can be partitioned into linear and negative quadratic terms. They are expressed as follows:
f T = R p p o l y T r R 1 T r 2 α β T r + 1 T + α β T 2 + α β T r 2
As calculated, α β < 0 . Therefore, by choosing the appropriate ratio in the R p p o l y T r R 1 T r term, the generated negative quadratic temperature component is able to counteract the positive quadratic temperature component in   V G S B 2 T as described in (4), based on Figure 5a. Of particular note, the negative linear T.C. term in   V G S B 2 T will be compensated by the PTAT-related term as described in (5). The temperature compensation in dual terms permits low T.C. to be achieved.
Figure 5. Proposed Voltage Reference Circuit with Second-Order Temperature Compensation: (a) Circuit Schematic (b) Binary-Weighted Trimming Circuit for R 5 .
Figure 5. Proposed Voltage Reference Circuit with Second-Order Temperature Compensation: (a) Circuit Schematic (b) Binary-Weighted Trimming Circuit for R 5 .
Sensors 22 09466 g005
R 4 T r and R 5 T r in Figure 5a form the voltage scaling network. By adjusting the value of resistor R 5 T r , the output voltage can be tuned from 0.65 V to 0.9 V. It is noted that the two capacitors C P S R 2 and C P S R 3 are used to build two RC filters. The goal is to improve the power supply rejection (PSR) of this voltage reference at high frequencies. When accounting for the first-order and second-order terms as discussed, V r e f T is obtained as follows:
V r e f T = n V T I n M R 3 T r R 1 T r + n V T I n M R p p o l y T r R 1 T r 2 α β T r + 1 T + α β T 2 + α β T r 2 + V G S B 2 T 1 + R 5 T r R 4 T r
In this design, M = W / L 1 W / L 2 = 8 , with channel length L 1 = L 2 , n 1.5 ,   V T = K T q 26   m V , R 3 T r R 1 T r 2.15 , R 1 T r R p p o l y T r = 7.61 , and V r e f T = 800   m V . Table 1 lists the size of each component in the reference circuit. As observed, the advantage of this proposed design is that it requires only one trim for the   R 5 value to get the precision output. For the SS corner, MOSFET transistors have thicker gate oxide layers and higher threshold voltage. According to (2), this leads to the increase in output voltage through the increase in V G S B 2 . In order to reduce the unwanted increment due to process variation, the trimming resistor R 5 should be made small, with R 5 S S = R T R I M m i n for this worse condition. Regarding the TT corner, R 5 T T = R T R I M m i n + Δ R T R I M 1 will be used, due to the decrease in V G S B 2 with reference to the baseline resistance defined by the SS corner. Similarly, for the FF corner, MOSFET transistors have thinner gate oxide layers and lower threshold voltage, which causes the decrease in output voltage. At this juncture, R 5 F F = R T R I M m i n + Δ R T R I M 1 + Δ R T R I M 2 is employed for compensating the drop in V G S B 2 .

3.2. Analysis of Proposed Voltage Reference

3.2.1. Core Circuit of Operational Amplifier

The operational amplifier (op-amp), which is depicted in Figure 6, is a high-gain OTA topology with a source-follower output stage to drive a composite load Z L and a RC network for frequency compensation. The composite load Z L is basically the components, which comprise M B 1 - M B 2 , R 1 - R 5 , R p p o l y , C P S R 2 , and C P S R 3 , as shown in Figure 5a. All the transistors are biased in the sub-threshold region. Table 2 lists the size of each component in the operational amplifier.
Due to relatively lower voltages developed by MOS devices than BJT counterparts, the pmos input stage is employed to handle low common-mode DC. With the differential pair M 1 M 2 driving the cross-coupled load M 3 M 6 , it turns out that the effective impedance of the load is
1 Δ g m = 1 g m 3 g m 5 = 1 g m 4 g m 6
The introduction of positive feedback allows one of the impedances to turn negative. As such, higher gain can be obtained with the cross-coupled load through the difference between transconduce parameters. It is given that the positive feedback is less than negative feedback for ensuring stability. The rest of the high-gain arrangement comes from the cascode current mirror gain stage formed by M 7 M 14 . This is then followed by source follower, comprising M 15 M 16 , which permits the op-amp to have driving capability. High-gain is essential to obtain high PSR at low frequency. It also forces V A and V B very close to each other in Figure 5a. It is critical for precise voltage reference.
When referring to Figure 5a, the small-signal open-loop gain of the op-amp and the composite Z L are obtained as follows:
A v 0 = g m 2 Δ g m g m 8 r o 10 + R D 1 1 + g m 10 r o 10 R D 1 R D 2 ( g m 10 r o 10 + 1 ) R D 1 + R D 2 r o 10 g m 16 g d s 15 + g d s 16 + g m 16 + 1 Z L
Z L = s R 5 R t o t a l C M c 1 + C P 2 + R 5 + R t o t a l s 2 R 5 R t o t a l C M c 1 C P 2 + s R 5 C M c 1 + R t o t a l C P 2 + 1
where R D 1 is the equivalent resistance for M 12 and M 14 , which equals to g m 12 r o 12 r o 14 + r o 12 + r o 14 . R D 2 is the equivalent resistance for M 8 and M 10 , which equals to g m 10 r o 8 r o 10 + r o 8 + r o 10 . Z L is the composite load. R t o t a l is the equivalent resistor contributed by the components R 1 R 4 , R p p o l y ,   M B 1 ,   M B 2 .
Since R D 1 ,   R D 2   r o 10 , and g m 16 g d s 15 + g d s 16 + 1 Z L at low frequency, the open-loop gain of the op-amp can be simplified as
A v 0 = g m 2 g m 8 1 Δ g m R D 1 r o 10 R D 1 R D 2 R D 1 + R D 2

3.2.2. Basing Circuit of Operational Amplifier

Figure 7 shows a g m -compensated biasing circuit with a capacitive start-up network. The circuit generates the PTAT current, which can maintain the gain and the gain bandwidth (GBW) of the sub-threshold-biased amplifier at high temperature. The PTAT current is given as
I = Δ V G S T R b 1 T
where Δ V G S T is the difference of V G S between M b 1 and M b 2 . It is noted that when R b 1 T r equals to the 1 g m , the basing circuit is independent of supply voltage. This enhances the PSR of the biasing circuit.
When V D D is powered on, the gate voltage of M s 2 and M s 3 are pulled high, due to the short circuit of C s 1 to the supply. As a result, the momentum current is drawn from the cascode current mirrors M b 5 and M b 6 through the start-up transistor M s 2 . The cascode transistors, M b 3 and M b 4 , are simultaneously turned on by the start-up transistor M s 3 . This results in the bias currents being established in the core biasing circuit. Hence, V G S b 2 in M b 2 is then built up to establish the bias for the mirror transistor M b 7 and the cascode bias transistor M b 7 . At the same time, M s 1 is turned on. When the capacitor C s 1 is charged through   M s 1 and the supply voltage, the gate voltage for the start-up transistors M s 2 and M s 3 will go low so that both transistors go off when the biasing circuit reaches the steady state. The capacitor C b 1 is used to improve the PSR of the biasing circuit, and the capacitor C s 2 is used to add the latency for M s 1 to turn on when other parts of the circuit have completed the operation. Table 3 lists the size of each component in the biasing circuit. Table 3 lists the size of each component in the biasing circuit

3.2.3. Frequency-Dependent Loop Gain Analysis of Voltage Reference

While designing the high-gain circuit, it may be more difficult to maintain the stability, due to the existence of a number of potential low-frequency poles. It is particularly significant when the biasing current of the circuit is made low in order to reduce power consumption. In this reference circuit design, the PSR capacitors are added to enhance high-frequency PSR at the expense of generating extra poles. To tackle the issue, a series of RC networks ( R 1 and C 1 ) is employed at the output of cascode mirrors in the OTA such that an intentional zero is utilized to compensate for the unwanted phase shift produced by the PSR capacitor-related pole. As a result, the stability is not jeopardized.
When referring to voltage reference circuit in Figure 5a, consider R 5 and MOS capacitor M c 1 with a capacitance of C P S R 2 , where the equivalent impedance is Z 1 = R 5 s R 5 C P S R 2 + 1 . Besides, large resistors R 1 , R 2 , and R p p o l y are employed while both 1 g m B 1 and 1 g m B 2 are large values for M B 1 and M B 2 , respectively, under a small biasing current. As a result, R 4 , by having a smaller resistance value, becomes the dominant resistor. As such, the equivalent impedance is approximated as Z 2 R 4 s R 4 C P S R 3 + 1 .
Thus, V M can be expressed by
V M V O U T Z 2 Z 1 + Z 2 = V i n e g V i p o s A v Z 2 Z 1 + Z 2  
Z 2 Z 1 + Z 2 = s R 4 R 5 C P S R 2 + R 4 s R 4 R 5 C P S R 2 + C P S R 3 + R 4 + R 5
By breaking the negative feedback loop, the return signal is
V F B n V M 1 g m B 1 + R p p o l y 2 + R 1 1 g m B 1 + R p p o l y 2 + R 1 + R 2
Similarly, by breaking the positive feedback loop, the return signal is
V F B p V M 1 g m B 2 + R p p o l y 2 1 g m B 2 + R p p o l y 2 + R 3
By subtracting (18) from (17), the difference of the two outputs before the differential input of the op-amp becomes
V F B n V F B p V i n e g V i p o s A v Z 2 Z 1 + Z 2 1 g m B 1 + R p p o l y 2 + R 1 1 g m B 1 + R p p o l y 2 + R 1 + R 2 1 g m B 2 + R p p o l y 2 1 g m B 2 + R p p o l y 2 + R 3
The negative feedback loop is made larger than the positive feedback loop in order to ensure the loop stability. Using the design parameter values, Z L is calculated to be about 107.9 k Ω in the unity-gain bandwidth, which is larger than the source follower’s output resistance, 1 g m 16 , which is of about 10.6 k Ω . Therefore, the influence of Z L in the high frequency is assumed to be negligible. The frequency-dependent open-loop gain of op-amp is given as:
A v = g m 2 g m 8 R D 1 2 R D 2 ω + ω z 1 Δ g m r o 10 R D 1 + R D 2 ω + ω p 1
Consequently, the frequency-dependent loop gain of the reference circuit is obtained as follows:
L o o p   g a i n = V F B n V F B p V i n e g V i p o s   g m 2 g m 8 R D 1 2 R D 2 ω + ω z 1 Δ g m r o 10 R D 1 + R D 2 ω + ω p 1 ω + ω p 2 1 g m B 1 + R p p o l y 2 + R 1 1 g m B 1 + R p p o l y 2 + R 1 + R 2 1 g m B 2 + R p p o l y 2 1 g m B 2 + R p p o l y 2 + R 3
There exist two significant low-frequency poles, which are located at node A and node M according to Figure 5a and Figure 6, respectively. The first dominant pole appears in node A. It is given as:
ω p 1 = 1 ( R o u t + R 1 ) C 1 1 R o u t C 1
where R o u t > R 1 and R o u t g m 10 r o 8 r o 10 / / g m 12 r o 12 r o 14 , which is the effective output resistance of the cascode mirrors in the OTA. The dominant zero at node A is given as:
ω z 1 = 1 R 1 C 1
The second pole is located at node M in the voltage reference. It is given as:
ω p 2 = R 4 + R 5 R 4 R 5 C P S R 2 + C P S R 3
Figure 8 depicts the plot of loop gain and phase against the frequency. The simulated phase margin (PM) and gain margin (GM) were obtained as 77° and −27 dB, respectively. The obtained two poles and one zero were then compared with the calculated results listed in Table 4. It was confirmed that the theoretical results matched the simulation results. The reference circuit was verified with good stability.

3.3. Offset Effect in Voltage Reference

Due to imperfect fabrication, non-ideal factors, such as offset, will influence the precision as well as the generation temperature-dependent effect in the reference circuit, which result in the degradation of the T.C. When referring to Figure 5a, in the presence of offset, V o s , i n , at the input of high open-loop gain op-amp, we have:
V B = V A + V o s , i n
where V A = V G S B 2 + V N and V B = V G S B 1 + V N + I P T A T T R 1 . Therefore, the output of the reference circuit is given as:
V r e f T = I P T A T T R 3 + V G S B 2 T + 2 × I P T A T T R p p o l y 1 + R 5 R 4
Since I P T A T T = Δ V G S T + V o s , i n R 1 , (26) can be re-written as follows:
V r e f T = Δ V G S T R 1 R 3 + V G S B 2 T + 2 × Δ V G S T R 1 R p p o l y 1 + R 5 R 4 + 2 V o s , i n R 1 R p p o l y + V o s , i n R 1 R 3 1 + R 5 R 4
As can be seen, the non-ideal offset will be amplified, causing the degradation of accuracy and the T.C. of the reference circuit. To reduce the drawback, several issues can be addressed. To reduce the random offset, the aspect ratio W / L , area W L , and channel length L for the input transistor pair, current source transistors, and current mirror loads are made bigger whenever possible. This can reduce the mismatch in threshold voltage as well as the transconductance parameter, which are described by [25] as follows:
Δ V T H = A V T H W L
Δ μ C o x W L = A K W L
where A V T H and A K are process constants derived from the experiment. Their sizes are detailed in Table 2. In addition, the systematic offset was also addressed. This was done by balancing the nodal potential at A to the nodal potential of M 11 in op-amp, as depicted in Figure 6.
For the offset evaluation, the op-amp was conducted with 200-sample Monte-Carlo simulation. Figure 9 shows the histogram of the offset, with a mean value of 0.013 mV and a process sensitivity of 0.139%. This verified that the offset had no significant impact on the reference circuit at the expense of increasing the size of critical devices. This is a particularly important design approach and design tradeoff for the precision voltage reference.

4. Results and Discussions

Having been implemented in TSMC 40 nm CMOS technology, the proposed reference circuit operated at a supply voltage of 1.2 V and a total current consumption of 8 μ A . This yielded the total power consumption of 9.6 μ W that comprised the power consumption contributed by the voltage reference circuit, the operational amplifier, and the bias circuit. For first-order temperature compensation, the obtained T.C. was 15.09 ppm/ °C as shown in Figure 10a. For the second-order temperature compensation, the obtained T.C. became 3.002 ppm/ °C for the temperature range from −40 °C to 90 °C as shown in Figure 10b. Both cases gave the reference output voltages of about 800 mV.
In order to evaluate T.C. sensitivity with respect to the variation in circuit and process parameters, two 200-sample Monte-Carlo simulations were conducted for the circuit with the first and second-order temperature compensations. Figure 11a,b illustrate the histograms of both results. As can be seen, the second-order compensated circuit in Figure 11b displayed the relatively lower T.C. values, with a mean value of 12.5 ppm/ °C and standard deviation of 7.99 ppm/ °C as compared to that of 27.65 ppm/ °C and 16.13 ppm/ °C , respectively, for the first-order temperature compensation. The results confirmed the effectiveness of the proposed temperature compensation method for the reference circuit.
Besides, the precision of the voltage reference was also evaluated with a 200-sample Monte-Carlo simulation on the process sensitivity of the V r e f at temperatures of −40   °C , 27   °C , and 90   °C . The respective histograms are depicted in Figure 12a–c. As can be seen, V r e f varied very little in value and was about 803 mV, and the process sensitivity σ μ was 2.85 % in the three temperature cases. This verified that the output voltage had good precision, low variation in process sensitivity, and high thermal stability.
Figure 13 shows the PSR of the proposed voltage reference circuit. As can be observed, the low-frequency (100 Hz) PSR gave −71.69 dB, which was due to the design of high open-loop gain op-amp, together with the use of source-follower to isolate the loading effect of resistors by the reference circuit. Besides, the gm-compensated biasing technique and high-frequency suppressing capacitor C b 1 were employed in the biasing circuit of the op-amp. This offered good rejection to supply noise. On top of that, the capacitor, C P S R 1 , was added in the op-amp for high-frequency suppressing. At the juncture, two capacitors, C P S R 2 and C P S R 3 , were added to form RC filters to reduce the supply noise of the reference circuit. This yielded at least a minimum of −40 dB on the PSR across the entire high-frequency range of the circuit. This is sufficient for many circuit applications.
Figure 14 shows the line sensitivity plot of the proposed reference circuit with the supply voltage ranging from 1.2 V to 1.8 V. The obtained line sensitivity was 0.028 %/V, which is regarded as an acceptable value. This correlated with the performance of the low-frequency PSR.
Figure 15a illustrates the untrimmed reference output voltage at corners TT, SS, FF, SF, and FS under the temperature of 27   °C . Since the targeted output voltage was 800 mV in design, it can be observed that data points up to a few tens of mV deviated from the reference value according to the type of process corner. Through 3-bit trimming of the R 5 in Figure 5a, the trimmed result was obtained in Figure 15b. As interpreted, the derivation with respect to the reference value of 800 mV was significantly reduced, leading to a maximum of 10.8 mV in deviation. This translated to an accuracy of 0.75%.
  • Table 5 and Table 6 compare the performance of the proposed work with other representative reported works that include both types of parasitic BJT and sub-threshold MOSFET voltage references. Besides, a Figure-of-Merit (FOM) [26] performance metric was also utilized for evaluating the total PVT sensitivity of each voltage reference output. It is defined as follows:
F O M = P r o c e s s   S e n s i t i v i t y     σ / μ   + L i n e   S e n s . × 10 % V D D m i n + T . C .   o f   V r e f   × 100 ° C
  • The process sensitivity   σ / μ pertains to the % output change due to process variation, the line sensitivity pertains to the % output change due to supply variation, and the T.C. of V r e f pertains to the % output change due to temperature variation. The lower value of FOM indicates low sensitivity of the reference circuit output.
  • When referring to the performance comparison of the BJT-based voltage references in Table 5, it can be seen that the proposed work offers slightly higher process sensitivity with respect to [6,21] but lower than [20] under no trimming condition. When trimmed, the proposed work offers comparable accuracy. Except for [6], it consumes lower power when compared with the majority of designs. However, the T.C. sensitivity obtained from the Monte-Carlo simulation is smaller than that of [6,20]. Regarding line sensitivity and low-frequency PSR, the obtained values are reasonably good. It is worth noting that the high-frequency PSR is very good in this work.
When referring to the performance comparison of subthreshold-based MOSFET voltage references in Table 6, the proposed work has the lowest T.C., and the average T.C. sensitivity obtained from Monte-Carlo simulation verified that the circuit is almost temperature insensitive. On top of that, without trimming, the process sensitivity of V r e f is better than that of the reported works. With trimming, the process sensitivity offered an accuracy of up to 0.75%. Regarding the FOM without trimming, the proposed work offers better accuracy than the prior works. With trimming, the FOM of the proposed work reached 0.78%. This implied that the T.C. and line sensitivity of the V r e f had no significant impact when compared to process sensitivity. However, the drawback was that the proposed circuit consumed relatively higher power than others. Hence, it was a tradeoff design between the BJT-based voltage reference and the subthreshold-based MOSFET voltage reference in terms of the tradeoff performance metrics relating to accuracy and power consumption. Finally, except for the 2T-based voltage reference, the proposed circuit has lower circuit complexity with respect to the representative subthreshold-based MOSFET voltage references. This is the reason why good thermal stability as well as accuracy were obtained when the second-order temperature compensation was proposed in this work.

5. Conclusions

A precision-aware subthreshold-based MOSFET voltage reference dedicated to the sensor and other analog circuit applications was presented in this paper. It was implemented in a TSMC 40 nm CMOS process, and the reference circuit operated at a 1.2 V supply. The paper showed that the proposed circuit could output a precision voltage though the simplicity of circuit architecture, the second-order temperature compensation, and the simple output voltage trimming feature. The circuit exhibited low T.C., low process sensitivity, and a good PSR, as well as reasonable power consumption and line sensitivity. When compared with the BJT reference circuits, the proposed work displayed lower power consumption and simpler quadratic temperature compensation to achieve low T.C., while the output accuracy was comparable when trimmed. When compared with the subthreshold-based MOSFET voltage references, the proposed work displayed lower T.C. and lower process sensitivity, with and without trimming.

Author Contributions

Conceptualization, S.M. and P.K.C.; methodology, S.M. and P.K.C.; software, S.M.; validation, S.M.; formal analysis, S.M. and P.K.C.; investigation, S.M. and P.K.C.; resources, P.K.C.; data curation, S.M.; writing—original draft preparation, S.M.; writing—review and editing, S.M. and P.K.C.; visualization, S.M.; supervision, P.K.C.; project administration, P.K.C.; funding acquisition, No funding. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

The study did not require ethical approval.

Informed Consent Statement

The study did not involve humans.

Data Availability Statement

The study did not report any data.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Duan, Q.; Roh, J. A 1.2-V 4.2- ppm/°C High-Order Curvature-Compensated CMOS Bandgap Reference. IEEE Trans. Circuits Syst. I Regul. Pap. 2015, 62, 662–670. [Google Scholar] [CrossRef]
  2. Kamath, U.; Cullen, E.; Jennings, J.; Cical, I.; Walsh, D.; Lim, P.; Farley, B.; Staszewski, R. A 1-V Bandgap Reference in 7-nm FinFET with a Programmable Temperature Coefficient and Inaccuracy of ±0.2% From −45 °C to 125 °C. IEEE J. Solid-State Circuits 2019, 54, 1830–1840. [Google Scholar] [CrossRef]
  3. Liu, L.; Liao, X.; Mu, J. A 3.6 μVrms Noise, 3 ppm/°C TC Bandgap Reference with Offset/Noise Suppression and Five-Piece Linear Compensation. IEEE Trans. Circuits Syst. I Regul. Pap. 2019, 66, 3786–3796. [Google Scholar] [CrossRef]
  4. Lee, C.C.; Chen, H.M.; Lu, C.C.; Lee, B.Y.; Huang, H.C.; Fu, H.S.; Lin, Y.X. A High-Precision Bandgap Reference with a V-Curve Correction Circuit. IEEE Access 2020, 8, 62632–62638. [Google Scholar] [CrossRef]
  5. Ming, X.; Ma, Y.-Q.; Zhou, Z.-K.; Zhang, B. A High-Precision Compensated CMOS Bandgap Voltage Reference Without Resistors. IEEE Trans. Circuits Syst. II: Express Briefs 2020, 57, 767–771. [Google Scholar] [CrossRef]
  6. Lee, K.K.; Lande, T.S.; Häfliger, P.D. A Sub-μW Bandgap Reference Circuit with an Inherent Curvature-Compensation Property. IEEE Trans. Circuits Syst. I: Regul. Pap. 2015, 62, 1–9. [Google Scholar] [CrossRef]
  7. Barteselli, E.; Sant, L.; Gaggl, R.; Baschirotto, A. A First Order-Curvature Compensation 5ppm/°C Low-Voltage & High PSR 65nm-CMOS Bandgap Reference with one-point 4-bits Trimming Resistor. In Proceedings of the International Conference on SMACD and 16th Conference on PRIME, Virtual, 19–22 July 2021; pp. 1–4. [Google Scholar]
  8. Leung, K.N.; Mok, P.K.T. A sub-1-V 15-ppm/°C CMOS bandgap voltage reference without requiring low threshold voltage device. IEEE J. Solid-State Circuits 2002, 37, 526–530. [Google Scholar] [CrossRef]
  9. Michejda, J.; Kim, S.K. A precision CMOS bandgap reference. IEEE J. Solid-State Circuits 1984, 19, 1014–1021. [Google Scholar] [CrossRef]
  10. Song, B.S.; Gray, P.R. A precision curvature-compensated CMOS bandgap reference. IEEE J. Solid-State Circuits 1983, 18, 634–643. [Google Scholar] [CrossRef]
  11. Verma, D.; Shehzad, K.; Kim, S.J.; Pu, Y.G.; Yoo, S.S.; Hwang, K.C.; Yang, Y.; Lee, K.Y. A Design of 10-Bit Asynchronous SAR ADC with an On-Chip Bandgap Reference Voltage Generator. Sensors 2022, 22, 5393. [Google Scholar] [CrossRef] [PubMed]
  12. Tesch, B.J.; Pratt, P.M.; Bacrania, K.; Sanchez, M. A 14-b, 125 MSPS digital-to-analog converter and bandgap voltage reference in 0.5/spl mu/m CMOS. In Proceedings of the 1999 IEEE International Symposium on Circuits and Systems (ISCAS), Orlando, FL, USA, 30 May–2 June 1999; Volume 2, pp. 452–455. [Google Scholar]
  13. Basyurt, P.B.; Bonizzoni, E.; Maloberti, F.; Aksin, D.Y. A low-power low-noise CMOS voltage reference with improved PSR for wearable sensor systems. In Proceedings of the 2017 IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, MD, USA, 28–31 May 2017; pp. 1–4. [Google Scholar]
  14. Liu, C.P.; Huang, H.P. A CMOS voltage reference with temperature sensor using self-PTAT current compensation. In Proceedings of the 2005 IEEE International SOC Conference, Herndon, VA, USA, 25–28 September 2005; pp. 37–42. [Google Scholar]
  15. Rossi, C.; Aguirre, P. Ultra-low power CMOS cells for temperature sensors. In Proceedings of the 18th Symposium on Integrated Circuits and Systems Design, Florianopolis, Brazil, 4–7 September 2005; pp. 202–206. [Google Scholar]
  16. Killi, M.; Samanta, S. Voltage-sensor-based MPPT for stand-alone PVT systems through voltage reference control. IEEE J. Emerg. Sel. Top. Power Electron. 2018, 7, 1399–1407. [Google Scholar] [CrossRef]
  17. Crupi, F.; De Rose, R.; Paliy, M.; Lanuzza, M.; Perna, M.; Iannaccone, G. A portable class of 3-transistor current references with low-power sub-0.5 V operation. Int. J. Circuit Theory Appl. 2018, 46, 779–795. [Google Scholar] [CrossRef]
  18. Wang, D.; Tan, X.L.; Chan, P.K. A Performance-Aware MOSFET Threshold Voltage Measurement Circuit in a 65-nm CMOS. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 1430–1440. [Google Scholar] [CrossRef]
  19. Shao, C.-Z.; Kuo, S.-C.; Liao, Y.-T. A 1.8-nW, −73.5-dB PSRR, 0.2-ms Startup Time, CMOS Voltage Reference with Self-Biased Feedback and Capacitively Coupled Schemes. IEEE J. Solid-State Circuits 2021, 56, 1795–1804. [Google Scholar] [CrossRef]
  20. Ming, X.; Hu, L.; Xin, Y.-L.; Zhang, X.; Gao, D.; Zhang, B. A High-Precision Resistor-Less CMOS Compensated Bandgap Reference Based on Successive Voltage-Step Compensation. IEEE Trans. Circuits Syst. I: Regul. Pap. 2018, 65, 4086–4096. [Google Scholar] [CrossRef]
  21. Chen, K.; Petruzzi, L.; Hulfachor, R.; Onabajo, M. A 1.16-V 5.8-to-13.5-ppm/°C Curvature-Compensated CMOS Bandgap Reference Circuit with a Shared Offset-Cancellation Method for Internal Amplifiers. IEEE J. Solid-state Circuits 2021, 56, 267–276. [Google Scholar] [CrossRef]
  22. Seok, M.; Kim, G.; Blaauw, D.; Sylvester, D. A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5 V. IEEE J. Solid-state Circuits 2012, 47, 2534–2545. [Google Scholar] [CrossRef]
  23. Ueno, K. CMOS voltage and current reference circuits consisting of subthreshold MOSFETs–micropower circuit components for power-aware LSI applications. Solid State Circuits Technologies; IntechOpen: London, UK, 2010. [Google Scholar]
  24. Tan, X.L.; Chan, P.K.; Dasgupta, U. A Sub-1-V 65-nm MOS Threshold Monitoring-Based Voltage Reference. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2015, 23, 2317–2321. [Google Scholar] [CrossRef]
  25. Razavi, B. Design of analog CMOS Integrated Circuits; McGraw-Hill: New York, NY, USA, 2001. [Google Scholar]
  26. Wang, D.; Tan, X.L.; Chan, P.K. A 65-nm CMOS Constant Current Source with Reduced PVT Variation. IEEE Trans. Very Large-Scale Integr. (VLSI) Syst. 2017, 25, 1373–1385. [Google Scholar] [CrossRef]
  27. Lee, J.; Cho, S. A 210 nW 29.3 ppm/°C 0.7 V voltage reference with a temperature range of −50 to 130 °C in 0.13 µm CMOS. In 2011 Symposium on VLSI Circuits—Digest of Technical Papers; IEEE: Piscataway, NJ, USA, 2011; pp. 278–279. [Google Scholar]
Figure 1. Exemplary Electronic System with High-order Temperature Compensated Voltage Reference.
Figure 1. Exemplary Electronic System with High-order Temperature Compensated Voltage Reference.
Sensors 22 09466 g001
Figure 2. The Current-mode BG Circuit with Low T.C.
Figure 2. The Current-mode BG Circuit with Low T.C.
Sensors 22 09466 g002
Figure 3. The Sub-1V MOS Threshold Voltage Circuit.
Figure 3. The Sub-1V MOS Threshold Voltage Circuit.
Sensors 22 09466 g003
Figure 4. 2-Transistor Voltage Reference.
Figure 4. 2-Transistor Voltage Reference.
Sensors 22 09466 g004
Figure 6. Operational Amplifier Circuit Topology.
Figure 6. Operational Amplifier Circuit Topology.
Sensors 22 09466 g006
Figure 7. Biasing Circuit and Start-up Circuit.
Figure 7. Biasing Circuit and Start-up Circuit.
Sensors 22 09466 g007
Figure 8. Loop Gain and Loop Phase of Reference Circuit.
Figure 8. Loop Gain and Loop Phase of Reference Circuit.
Sensors 22 09466 g008
Figure 9. Offset Voltage of Op-Amp with 200-Sample Monte-Carlo Simulation.
Figure 9. Offset Voltage of Op-Amp with 200-Sample Monte-Carlo Simulation.
Sensors 22 09466 g009
Figure 10. T.C. of V r e f in the TT Corner Case (a) Circuit with 1st-order temperature compensation (b) Circuit with 2nd-order temperature compensation.
Figure 10. T.C. of V r e f in the TT Corner Case (a) Circuit with 1st-order temperature compensation (b) Circuit with 2nd-order temperature compensation.
Sensors 22 09466 g010
Figure 11. Monte-Carlo Simulation of T.C. (a) Circuit with 1st-order temperature compensation (b) Circuit with 2nd-order temperature compensation.
Figure 11. Monte-Carlo Simulation of T.C. (a) Circuit with 1st-order temperature compensation (b) Circuit with 2nd-order temperature compensation.
Sensors 22 09466 g011
Figure 12. Monte-Carlo Simulation of Process Sensitivity (a) at −40 °C (b) at 27 °C (c) at 90 °C . .
Figure 12. Monte-Carlo Simulation of Process Sensitivity (a) at −40 °C (b) at 27 °C (c) at 90 °C . .
Sensors 22 09466 g012
Figure 13. PSR of Proposed Reference Circuit.
Figure 13. PSR of Proposed Reference Circuit.
Sensors 22 09466 g013
Figure 14. Line Sensitivity of Proposed Reference Circuit from 1.2 V to 1.8 V.
Figure 14. Line Sensitivity of Proposed Reference Circuit from 1.2 V to 1.8 V.
Sensors 22 09466 g014
Figure 15. V r e f at Different Corners, 27   °C (a) Before Trimming (b) After Trimming.
Figure 15. V r e f at Different Corners, 27   °C (a) Before Trimming (b) After Trimming.
Sensors 22 09466 g015
Table 1. Sizes of the Components in Voltage Reference Circuit.
Table 1. Sizes of the Components in Voltage Reference Circuit.
ComponentSizeComponentSize
M B 1 80/8 ( μ m / μ m ) R 5 120   k Ω
M B 2 10/8 ( μ m / μ m ) R p p l o y 39   k Ω
M t 1 100/0.2 ( μ m / μ m ) C P S R 2 20 pF
M t 2 100/0.2 ( μ m / μ m ) C P S R 3 20 pF
M t 3 100/0.2 ( μ m / μ m ) R T R I M m i n 108   k Ω
R 1 300   k Ω Δ R T R I M 1 12   k Ω
R 2 ,   R 3 644   k Ω Δ R T R I M 2 8   k Ω
R 4 260   k Ω
Table 2. Sizes of the Components in Operational Amplifier.
Table 2. Sizes of the Components in Operational Amplifier.
ComponentSizeComponentSize
M 1 ,   M 2 60/3 ( μ m / μ m ) M 15 40/6.7 ( μ m / μ m )
M 3 ,   M 4 8/2 ( μ m / μ m ) M 16 100/2 ( μ m / μ m )
M 5 ,   M 6 7/2 ( μ m / μ m ) M 17 1/3.5 ( μ m / μ m )
M 7 ,   M 8 20/2 ( μ m / μ m ) M 18 2.4/0.5 ( μ m / μ m )
M 9 ,   M 10 50/1 ( μ m / μ m ) R 1 210 k Ω
M 11 ,   M 12 4/1 ( μ m / μ m ) C 1 15pF
M 13 ,   M 14 10/2 ( μ m / μ m ) C P S R 1   5pF
Table 3. Sizes of the Components in Biasing Circuit.
Table 3. Sizes of the Components in Biasing Circuit.
ComponentSizeComponentSize
M b 1 12.8/2 ( μ m / μ m ) M b 10 2.4/0.5 ( μ m / μ m )
M b 2 10/2 ( μ m / μ m ) M s 1 1/1 ( μ m / μ m )
M b 3 4.8/0.5 ( μ m / μ m ) M s 2 5/1 ( μ m / μ m )
M b 4 1.2/0.5 ( μ m / μ m ) M s 3 5/1 ( μ m / μ m )
M b 5 2/3.5 ( μ m / μ m ) R b 1 68.3 k Ω
M b 6 0.5/3.5 ( μ m / μ m ) C s 1 1pF
M b 7 15/2 ( μ m / μ m ) C s 2 1.2pF
M b 8 2/20 ( μ m / μ m ) C b 1 6pF
M b 9 1/3.5 ( μ m / μ m )
Table 4. Simulated and Calculated Values of Poles, Zeros and Unity-gain Bandwidth.
Table 4. Simulated and Calculated Values of Poles, Zeros and Unity-gain Bandwidth.
f p 1 U G B W f p 2 f z 1
Calculation (Hz)23.08 70.53 k304.87 k317.46 k
Simulation (Hz)22.3085.40 k316 k331 k
Table 5. The Performance Benchmarks Against Voltage Reference with Parasitic BJT.
Table 5. The Performance Benchmarks Against Voltage Reference with Parasitic BJT.
[1][6][20][21]This Work
Year20152015201820212022
Technology (nm)1309050013040
Temp. Range (°C)−40–1200–70−5–125−40–150−40–90
V D D (V)1.21.152.13.31.2
V O U T (V)0.7350.721.1961.1600.80
MC V O U T  (V)NA0.731.1941.1690.80
Power ( μ W )1200.58381209.6
TT corner T.C. (ppm/°C)4.25.54.815.783.00
MC T.C. (ppm/°C)NA2513.19NA12.51
Line Sens. (%/V)NA0.30.0180.030.028
PSR (dB) (100 Hz)−30−51−84−82−71.69
PSR (dB) (10 MHz)NANANA−20−52.54
Trimming BitsNANA7NA3
Process Sens. ( σ / μ )w/o Trimming (%)NA0.863.660.542.85
Process Sens. ( σ / μ )with Trimming (%)NANA0.62NA0.75
FOM w/o Trimming (%)NA0.953.710.612.88
FOM with Trimming (%)NANA0.67NA0.78
Table 6. The Performance Benchmarks Against Subthreshold MOSFET-Only Voltage Reference.
Table 6. The Performance Benchmarks Against Subthreshold MOSFET-Only Voltage Reference.
[27][24][18][19]This Work
Year20112014201620212022
Technology (nm)130656518040
Temp. Range (°C)−50–130−40–90−30–80−40–130−40–90
V D D (V)0.70.751.10.91.2
V O U T (V)0.5010.4770.470.2610.80
MC V O U T (V)NA0.4740.470.2610.80
Power ( μ W )0.210.292.641.8(nW)9.6
TT corner T.C. (ppm/°C)23.82418.8623
Monte-Carlo T.C. (ppm/°C)NANA21.7NA12.51
Line Sens. (%/V)0.0340.2420.00710.0130.028
PSR (dB) (100 Hz)NA−40−54−73.50−71.69
PSR (dB) (10 MHz)NA−31−43.5NA−52.54
Trimming BitsNANANA NA3
Process Sens. ( σ / μ ) w/o Trimming (%)NA3.303.216.742.85
Process Sens. ( σ / μ ) with Trimming (%)NANANANA0.75
FOM w/o Trimming (%)NA3.563.407.362.88
FOM with Trimming (%)NANANANA0.78
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Mu, S.; Chan, P.K. Design of Precision-Aware Subthreshold-Based MOSFET Voltage Reference. Sensors 2022, 22, 9466. https://doi.org/10.3390/s22239466

AMA Style

Mu S, Chan PK. Design of Precision-Aware Subthreshold-Based MOSFET Voltage Reference. Sensors. 2022; 22(23):9466. https://doi.org/10.3390/s22239466

Chicago/Turabian Style

Mu, Shuzheng, and Pak Kwong Chan. 2022. "Design of Precision-Aware Subthreshold-Based MOSFET Voltage Reference" Sensors 22, no. 23: 9466. https://doi.org/10.3390/s22239466

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop