Next Article in Journal
Effects of Process Parameters and Heat Treatment on Microstructure and Mechanical Characteristics of Laser Powder Bed Fusion Alloy Inconel 718
Next Article in Special Issue
Electrodeposition of Soft Magnetic Fe-W-P Alloy Coatings from an Acidic Electrolyte
Previous Article in Journal
Electrochemical and Optical Analysis of Various Compositions of Au and Ag Layers for Blood Cancer Prognosis
Previous Article in Special Issue
Effect of Deposition Parameters on Morphological and Compositional Characteristics of Electrodeposited CuFeO2 Film
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Review on Sustainable Manufacturing of Ceramic-Based Thin Films by Chemical Vapor Deposition (CVD): Reactions Kinetics and the Deposition Mechanisms

1
School of Metallurgy and Materials Engineering, Iran University of Science and Technology (IUST), Tehran, Iran
2
Department of Materials Engineering, Science and Research Branch, Islamic Azad University, Tehran, Iran
3
Department of Advanced Materials & Processing, Research and Development of Engineering Materials Research Center, Science and Research Branch, Islamic Azad University, Tehran, Iran
4
Department of Mining and Metallurgical Engineering, Amirkabir University of Technology (Tehran Polytechnic), Tehran, Iran
5
Department of Technical Inspection Engineering, Petroleum University of Technology, Abadan, Iran
6
School of Materials Science and Physics, China University of Mining and Technology, Xuzhou 221116, China
7
School of Materials Science and Engineering, Yeungnam University, 280 Daehak-ro, Gyeongsan 38541, Republic of Korea
8
POSCO Technical Research Laboratories, Gwangyang 57807, Republic of Korea
9
BISTEP Evaluation & Analysis of Regional Innovation Program Division, Busan 48058, Republic of Korea
*
Author to whom correspondence should be addressed.
Coatings 2023, 13(1), 188; https://doi.org/10.3390/coatings13010188
Submission received: 18 November 2022 / Revised: 23 December 2022 / Accepted: 9 January 2023 / Published: 14 January 2023
(This article belongs to the Special Issue Electrochemical Deposition: Properties and Applications)

Abstract

:
Chemical vapor deposition (CVD) is a process that a solid is formed on a substrate by the chemical reaction in the vapor phase. Employing this technology, a wide range of materials, including ceramic nanocomposite coatings, dielectrics, and single crystalline silicon materials, can be coated on a variety of substrates. Among the factors influencing the design of a CVD system are the dimensions or geometry of the substrate, substrate temperature, chemical composition of the substrate, type of the deposition process, the temperature within the chamber, purity of the target material, and the economics of the production. Three major phenomena of surface reaction (kinetic), diffusion or mass transfer reaction, and desorption reaction are involved during the CVD process. Thermodynamically, CVD technology requires high temperatures and low pressures in most systems. Under such conditions, the Gibbs free energy of the chemical system quickly reaches its lowest value, resulting in the production of solids. The kinetic control of the CVD technology should always be used at low temperatures, and the diffusion control should be done at high temperatures. The coating in the CVD technology is deposited in the temperature range of 900–1400 °C. Overall, it is shown here that by controlling the temperature of the chamber and the purity of the precursors, together with the control of the flow rate of the precursors into the chamber, it is possible to partially control the deposition rate and the microstructure of the ceramic coatings during the CVD process.

1. Introduction

The chemical vapor deposition (CVD) technique was originally developed, as a new manufacturing process, and to effectively fabricate a range of engineering products such as nanocomposite ceramic coatings, critical components in numerous industrial sectors including semiconductor, ceramic, mining, etc. [1,2]. Nowadays, the CVD technique has gone far beyond its original scope, particularly in the semiconductor and microelectronics industries, due to extensive research in various fields. The reasons for the uniqueness of the CVD technique include the ability to produce highly versatile layers, the possibility to apply nanocomposite ceramic coatings on metals, the ease of semiconductors fabrication, and the opportunity to fabricate layers with organic and inorganic compounds. The layers created by the CVD technique are usually in the form of crystalline or amorphous with versatile properties, which can be acquired by controlling the production parameters [2,3]. In fact, the CVD technique is part of ceramic coating deposition techniques that have been advancing relatively fast in recent years. Generally, in the CVD process, a solid layer is produced on the substrate by a chemical reaction in the vapor phase. Historically, soot formation due to the incomplete oxidation and burning of firewood is perhaps the oldest example with close proximity to the CVD technique [4,5].
Among the different layer deposition methods for ceramic nanocomposite coatings, the CVD technique is the most important one in industrial production due to its relatively low cost of fabrication and also due to its high production efficiency. The CVD technique is a process that involves the decomposition or chemical reaction of gaseous reactants under heat, light, and plasma. Such a decomposition is used to form a stable solid layer. The CVD can produce highly purified stand-alone materials or coatings whose characteristics can be controlled down to atomic or nanometer scale [6,7,8]. In addition to that, this process can produce monolayer materials, multilayer materials, composite materials, nanostructure pieces, and coatings with a specific grain size structure that contains excellent dimensional stability [8,9].
The applications of CVD technology have significantly expanded over the past few years. Relying on the deposition aspects of the process, CVD technology has found many applications in materials science and engineering. Varying application of the CVD technology from extraction to precipitation has made technology a very compelling and important coating technique. For example, the CVD technology is extensively used to produce thin film semiconductor and nanocomposite ceramic coatings with much improved surface properties such as abrasion protection, corrosion/oxidation resistance, chemical reactions, thermal stress, and neutron absorption. In historical terms, the early 1970s was the period when CVD technology achieved a great triumph in manufacturing electronic semiconductors, and protective coatings for electronic circuits. These successes have resulted in the rapid expansion of CVD technology to other areas such as manufacturing processes of ceramic coatings. Of particular arena of the expansion of the technology was in advanced ceramics for manufacturing of high temperatures tools such as high temperature ceramic coatings, turbine blade coatings, fiber-reinforced composites, and solar cells, to name a few [2,6]. Nowadays, CVD technology is highly useful and is getting greater importance, in manufacturing strategic components in the fields of aerospace, military, aviation, nuclear, and general materials engineering.
CVD technology is often used to produce coatings, powders, fibers, and unified components. It is possible to produce most metals, some non-metals such as carbon and silicon as well as many components including carbides, nitrides, oxides, and intermetallic phases, etc. Technology is one of the essential factors in producing semiconductors and other electronic components, tool coating, bearings, parts resistance to wear and corrosion, and optical instruments [9,10]. Using this process, a variety of nanostructures such as quantum dots, ceramic nanostructures, carbides, carbon nano-pipes, and even diamonds are producible, which is one of the main advantages of the process. Due to the high speed of the process, it is quite possible to prepare different industrial nanostructures using the process in a way that even single-wall nanopipes are industrially produced by this method. Diverse pre-materials can be used during the process which is because of high the temperature of the reaction. Another advantage of this process is the absence of many extra side materials. The extra materials are mostly gaseous and can be separated from the main materials [8,9,10,11,12].
Varieties of coatings or heat treatment procedures are used to compensate for the shortcomings in metals and alloys (i.e., low corrosion resistance, and poor wear performance) [10,11,12,13,14,15,16,17,18]. Often, the manufacturing process is modified through the tweaking of the production process to achieve the desired properties [19,20,21,22,23,24,25]. The specifications of a large number of articles related to ceramic coatings prepared by the CVD process are reported in Table 1.
Given the importance of CVD technology and its rapid expansion in many sectors, this study was conducted to review the CVD deposition mechanism of ceramic nanocomposite coatings on metal substrates.

2. Principles of the CVD Process

In most common devices, the CVD technique involves the flow of gases or precursor gases into a chamber. There are one or more hot substrates in the chamber, where the coating is going to be deposited. In this process, chemical reactions occur on or near the hot substrate. The result is a deposition in the form of a crystalline or amorphous thin film or a combination of both products on the substrate [41,42]. One of the disadvantages of this process is the production of chemicals. Waste materials and byproducts comeout of the chamber along with the unreacted precursor gases [40,43]. The deposition is typically carried out in the temperature range of 900–1400 °C. Unlike the physical vapor deposition (PVD) process, which involves processes such as evaporation, dispersion, and sublimation, the CVD process involves chemical reactions in the precursor (or between the precursors) [41,42,43,44,45].
The CVD technique is a process in which a solid is produced by a chemical reaction in the vapor phase. This reaction is performed on the substrate, which is either cold or often preheated. The solid that is produced in this process can be a coating, a powder, or a single crystal material. Materials with different properties can be produced by varying the parameters of the CVD process such as substrate type, substrate temperature, the chemical composition of the mixtures of the reactant gases, and the total pressure of the gas that flows, etc. [46,47]. The materials produced are very pure. It is mainly in the form of nanostructures and is widely used in the manufacturing of semiconductor pieces. A wide range of products including ceramic nanocomposite coatings, dielectrics, single-crystalline silicone, polymeric materials, and metals can be coated to the substrate by such a layering process [46,47,48,49,50]. One of the oldest applications of the CVD process is the manufacturing of different pigments in the industry. Usually, TiO2, SiO2, Al2O3, Si3N4, and even carbon black powders can be made in nano or micron sizes by the CVD process. Due to the nature of the CVD process, in some circumstances, this method is used to precipitate some pure metals on the substrate. Similar to the PVD process, several parameters influence the quality of layer(s) that is produced in the CVD process. Of the most important parameters are substrate temperature, reactant concentration, gas pressure, and gas flow rate [49,50,51].
The most important part of the CVD process is the chemical reactions occurring in the chamber and between the reactant gases as well. As a result of such reactions, the desired solid is deposited in a crystalline or amorphous form on the substrate. Depending on the amount of pressure, the chemical reactions during the CVD process may be in the CVD atmospheric pressure, known as APCVD, or at a low pressure CVD known as LPCVD, in the approximate range of 0.1 to 25 torr. Nonetheless, the process might be performed in the high pressure CVD (HPCVD). Moreover, one or more gases are pressurized at low pressures in the CVD method. They are then compressed and mixed at or near the substrate surface under controlled conditions, where the reaction occurs between the gases [52,53]. However, due to the nature of the gases, and the possibility of the production of highly toxic or degradable products during the deposition process, attention must be paid to the selection of the reactive gases [54,55,56,57]. In general, the following are most of the common reactions that occur, during the CVD process, for the deposition of nanostructured coatings or thin films [57,58,59,60]:
(a)
Decomposition of reactive gases.
(b)
Gas combination.
(c)
Gas hydrolysis.
(d)
Gas oxidation.
(e)
Reduction of some gases.
Usually, the formation of solid particles in the gaseous phase should be avoided. This is due to the formation of nonuniform layer thickness in addition to the raw materials wasting. Unwanted particles are also formed in the solid film. However, the formation of solid particles in the gas phase can be used under certain laboratory conditions. For example, gas-phase germination, and particle growth control are the most important aspects of the growth processes for the manufacturing of nanocomposite coatings, nanoparticles, or nano-powders. The particle size range is controlled by the number of nuclei formed in the reactor as well as the rate of particle contraction. Figure 1 shows an example of a typical CVD system in which reactive gases, commonly referred to as precursor gases, enter the chamber at an appropriate temperature. As the gases pass through the reactor, they come in contact with the hot substrate. They then react with the substrate and a solid layer of ceramic coating characteristic (for example, Al2O3, Cr2O3, or SiC) is deposited on the substrate. It should be noted that a neutral gas, such as argon, is commonly used in the CVD process as a diluent [59,60]. As mentioned earlier, the deposition temperature and pressure are usually the two limiting factors in this process.

3. Deposition Mechanism in the CVD Process

It is generally recognized that three major phenomena (surface reaction (kinetic), diffusion or mass transfer reaction, and desorption reaction) are involved during the CVD process [58,59,60,61]. Figure 2 shows a schematic of the main steps happening during the CVD process. The first step is to transfer the reactants (precursor gases) to the area that is supposed to be deposited. This is carried out by the convective current applied in the process. The second and fifth steps are dependent on each other through the stoichiometric relationships of the reactants due to the influence of the infiltration rate of the reactants. The third and fourth steps are very complex. They may involve surface reactions, simultaneous adsorption and desorption (chemical adsorption and physical desorption), and nucleation processes on the substrate. Commonly, the slowest step determines the overall speed of the chemical reactions. The rate of decomposition of the raw materials on the substrate increases if the substrate temperature in the chamber is much higher than the reactant decomposition temperature. Under such a circumstance, the growth rate of the deposited coatings/layers is controlled by the rate of transfer of the mass of the reactants on the substrate [57,58,59,60,61,62,63,64]. However, if the substrate temperature in the chamber is much higher than the reaction temperature of the gases, the rate of reactions that occur is limited by the temperature dependent heterogeneous nucleation rate, and the effect of desorption/kinetics. Therefore, the initial reaction rate in the chamber is controlled by the kinetics of the reaction [63,64,65,66,67]. In this case, if the amount of the raw materials is appropriate, the growth rate is independent of the temperature of the chamber and is only exponentially dependent on the temperature of the raw materials. Therefore, it can be stated that in the CVD process, the temperature is the rate controller at lower temperatures, and diffusion is the rate controller at higher temperatures [66,67,68,69].
Generally, the CVD techniques are performed at very high temperatures and at low pressures (to achieve high deposition rates). Therefore, the reaction rate is not somehow temperature-dependent in this process. However, the reaction rate in the chamber is highly dependent on the flow rate of the raw materials or the interface between the gases produced and the substrate. At very high temperatures, where the amount of supersaturation is high and the reactant gases are very hot, the nucleation rate is homogeneous in the CVD process, thereby precipitating solid particles on the hot substrate. With the progression of the deposition time and the consumption of some of the precursors, the raw material decreases, and subsequently the rates of the nucleation and growth on the substrate decrease as such. Therefore, it can be stated that the amount of raw material injected into the chamber controls the reaction rate. On the other hand, the rate of chemical reactions usually increases with increasing the pressure of the system. Overall, nucleation in the gaseous stage is homogeneous, whereas it is heterogeneous on the substrate surface [69,70,71,72,73,74].
Generally, the basic steps in the CVD process can be classified as follows [71,72,73,74,75]:
(a)
Heat transfer and diffusion of the reactants from the gas reservoir to the reaction zone.
(b)
Occurrence of chemical reactions in the gas phase to produce reactive samples and byproducts.
(c)
Transfer of primary reactants and their products onto the metallic or nonmetallic substrate.
(d)
Chemical adsorption and the diffusion of these products on the metallic or nonmetallic substrate.
(e)
Inhomogeneous reactions by the surface resulting in the formation of ceramic nanocomposite coatings on the metallic or nonmetallic substrate.
(f)
Heat transfer and outflow of the by-products outside the reaction chamber (deposition layer zone).
The nucleation stage of the CVD process involves the formation of critical-sized clusters (or nuclei with the critical size) that subsequently grow to become stable crystals. Figure 3 shows the three main models that are considered, for the growth process. The dimension or geometry of the substrate, substrate temperature, chemical composition of the substrate, type of the deposition process, the temperature of the chamber, the purity of the target material, and the economic factors of production influence the design of the CVD system [75,76,77]. Therefore, it can be said with high certainty that any changes in the manufacturing condition to produce critical engineering components can alter the microstructural, mechanical, and corrosion properties of these components [78,79,80,81,82,83,84,85,86]. In fact, the liquid or solid precursor is heated to achieve a gaseous phase in the CVD process. The generated gas is then purged in the CVD reactor at a suitable speed. When the specific thickness of the film or the nanocomposite coating or the combination of several layers varies, the rate of gas evaporation should be controlled. Nowadays, a digital flow controller or pressure-flow controller is usually used in advanced CVD systems to achieve gas evaporation rate control. In a sense, liquid precursors are usually easier to use compared to solid precursors. This is due to the fact that the heat transfer and surface area available to the solid precursors are lower than the liquid precursors [85,86,87,88,89].

4. Kinetics of Reactions and Coating Production in the CVD Process

Thermodynamically, in most systems, the CVD process requires high temperatures and low pressures. Under such conditions, the Gibbs free energy of the chemical system quickly reaches its lowest value, resulting in the stability and production of solid products [90,91]. The first step in understanding this process is the application of the concepts of thermodynamics together with the concepts of gas transport, reaction kinetics, nucleation, and growth of the particles that were precipitated. This information can be very valuable in choosing a suitable system for the reaction. The CVD process usually consists of the following five steps [92,93,94]:
1—Entrance of the reactant gases into the reactor.
2—Diffusion of the gases through a boundary layer with the help of convective flow.
3—Connection of the gases with the substrate at the gas–substrate interface.
4—Precipitation operations on the substrate.
5—The diffusion of the products that were produced through the boundary layer on the substrate.
The concept of boundary layer resistance against infiltration was first proposed by Noise and Whitney. It was developed by Nernst in subsequent years. The thickness of the boundary layer does not change significantly with the deposition time. However, it was shown in experimental systems that in high-pressure systems, the thickness of this boundary layer is altered due to its suitable penetration speed. Generally, if the mass transfer rate is much greater than the kinetic rate constant of the products, and the pressure of the system at the boundary layer as well, the reaction is said to be controlled by the kinetics. However, if the opposite is the case, the reaction is controlled by diffusion. If there are no thermodynamic constraints, the kinetic control of the CVD process should always be used at low temperatures, and at diffusion control at high temperatures. On the other hand, the reaction rate constant is exponentially related to temperature [94,95,96,97]. Figure 4 shows the importance of the relationship between the kinetic factor (growth rate) and the diffusion during the layering process of the CVD process.
Typically, at relatively low temperatures in the CVD process, the rate of reaction is limited by the strong dependence of the heterogeneous nucleation reaction rate on temperature as well as the desorption and kinetics effects. Thus, the initiation and rate of the initial reaction are controlled by kinetics. In this situation of the CVD process, the growth rate is not sensitive to the raw material flow rate except for the low flow of the raw material. However, the growth rate has an exponential relationship with temperature. At higher temperatures where the reaction rate is high, the diffusion of the raw materials and the gaseous products to the boundary layer is the determining factor of the reaction rate. As a result, the initial speed of the reaction is controlled by diffusion. In fact, in such a scenario, the reaction rate is not temperature-dependent. However, it is highly dependent on the flow rate of the raw materials or the boundary layer. At very high temperatures where the supersaturation is high and the reactant gases are very hot, the nucleation reaction is homogeneous. Therefore, the solid particles precipitate on the substrate. Consequently, due to the reduction of the raw materials (by precipitation of the solid particles on the substrate), the growth rate decreases on the substrate in a way that the growth temperature increases [97,98,99,100].
Moreover, similar changes occur in the mechanism of temperature-constant and pressure-variable systems. Under abnormal conditions, proper mass transfer is independent of the pressure of the system. However, the rate of chemical reaction usually increases with increasing the pressure of the system. Therefore, the reaction phase can be limited when the pressure of the system is low. While the diffusion stage can be controlled at high pressures. At temperatures that are suitable for the thermal degradation of the materials, the homogenous nucleation of solid particles in the gas phase is more convenient at low pressures in hot wall reactors compared to high pressures. This is due to the short free scanning length of the reaction radicals at high pressures. Generally, nucleation is homogeneous in the gas phase. While nucleation is heterogeneous on the substrate. It seems that the nucleation process involves the formation of critical-sized clusters where stable crystals form in the latter stage [98,99,100,101,102]. In this process, three main models are considered for the growth process, which is shown in Figure 3.
It is important to note that one can achieve layer-by-layer growth (Frank–van der Merwe growth model) under some special conditions. If the correlation between the lattice parameter of the structure and the film grid parameter is too high in a way that the mismatch is too low, three-dimensional clusters are formed in the Volmer–Weber growth model. As the mismatch between the lattice of the substrate and the film grid increases, the 3D clusters have a suitable energy level so long as the relative potential energy is greater than zero. As a result, intermediate films named Stranski–Krastanov are formed. Initially, a few single-layers are created and then clusters are then formed. When the energy between the film–substrate interface decreases, the film is separated from the substrate and the clusters are formed on the surface of the first precipitated single-layer [86,87,88,89,90]. As stated previously, the dimensions, shape, composition of the substrate, the type of the precipitation process, thermodynamics of the deposited materials, and economic factors are influential on the CVD process. The liquid or solid precursor enters the gas through a boiling evaporator. Then, the gas that is produced is sent into the reactor. The evaporation rate should be controlled when a particular thickness of a film or layer or multiple compositions with a specific molar ratio is desired. In advanced CVD systems, current controllers or pressure–current controllers are commonly used. And liquid precursors are easier to use compared with solid precursors since heat transfer and the available surface area of the liquid are higher than that of the solids [103,104,105,106].
In contrast to thermodynamic consideration that talks about the possibility of a reaction, kinetics talks about the chemical conversion over the unit of chemical reaction time [107,108]. The overall reaction is subdivided into several reactions, where the speed of the CVD process is determined by the rate of the slowest reaction. Therefore, the rate of this slowest reaction affects the deposition rate as well. When empirically determining the deposition rate, an ideal index diagram of the CVD process is obtained. Such an ideal diagram is shown in Figure 4. It can be observed that this diagram has three index zones. At low temperatures, the deposition process is determined by the reaction performed on the surface and follows the Arrhenius equation. This reaction-controlled region (Zone 1) is suitable for creating uniform coatings on complex geometries. At higher temperatures, the reaction speed is so high that the deposition process is carried out by particle transport (Zone 2). However, at even higher temperatures, powder formation usually occurs (Zone 3). For economic reasons, most of the CVD reactors in operation are restricted to Zone 2 [109,110,111,112,113]. When a uniform composite coating layer on a substrate with a complex geometry is the first major consideration, CVD processes generally work in region 1 of the diagram in Figure 4.
The mass transfer of gases to the substrate in zone 2 determines the layering velocity in the CVD process. A CVD process is accomplished by a complex and dynamic fluid flow pattern. For example, gas mixtures flow through pipes, valves, and chambers, while at the same time they are exposed to high temperatures. This temperature is different than the pre-mixing temperature. Then, the gas mixture (nanocomposite coating) reacts with the metal substrate. This reaction is a heterogeneous one due to the phase transformation from gaseous to solid state during the CVD process. Figure 5 shows the steps that take place for the deposition of ceramic nanocomposite coatings during the CVD process. They can be summarized as follows [109,110,111]:
Pre-Interaction: Convection transfer of reactive materials to the metal or nonmetallic substrate by the formation of diffusive flow.
Step 1: Penetration of the precursor and gaseous products (desired coating) through the boundary layer to the metallic or nonmetallic substrate.
Step 2: Absorption of the reactants or gaseous products (coatings) on the metallic or nonmetallic substrate.
Step 3: Homogeneous and equilibrium bottom-up reactions in the gas phase with the main components of the gas phase.
Step 4: Surface diffusion and surface reactions at the interface of the substrate.
Step 5: Separation and removal of non-consumable byproducts from the substrate interface (reaction site).
Step 6: Diffusive transport of gaseous products separated from the surface by the boundary layer at the interface.
Step 7: Convective transfer of subproducts through the boundary layer by diffusive flow force.
The precondition for the synthesis of ceramic coatings by the CVD process is the presence of volatile compounds that include the compounds needed to precipitate these ceramic coatings. Although gas precursors can be used directly, the liquid and solid precursors must evaporate first before entering the reactor. Usually, liquids are heated in a cylinder, and solids are heated in a tubular evaporator and then evaporated at high temperatures. They are then atomized or become an aerosol. Chlorides, fluorides, and bromides are present in CVD technology, where they contain various metals in the liquid or gas state. These materials are volatile, and they are suitable alternatives for the deposition of different materials on various substrates [112,113,114,115,116,117,118].
Most of the classical processes are based on the law of chemical synthesis. However, this depends on which halogenated, compounds in the form of reductioning or oxidizing gas, move towards the substrate and precipitate on it. In particular, most of the halogenated compounds are reactive at temperatures above 750–900 °C. This point should be taken into account in the production of ceramic coating via CVD technology. Typically, chemical synthesis involves chemical reactions such as hydrogen reduction, reductioning, metal reduction, oxidation, hydrolysis, carbidization (for ceramic coatings), and nitrogenization. The most important advantage of using CVD technology in the coating industry is the selective deposition of different coating layers. In fact, using the CVD technology, thin films or coatings can be selectively deposited on various substrates. Layer selection is based on differences in chemical reactions occurring between the surface of a material and the reactants. This method can produce various nanostructures or nanoparticles such as ceramic nanostructures, carbides, carbon nanotubes, and even diamonds [114,115,116,117,118]. This is one of the strengths of CVD technology in the coatings industry. Due to the high synthesis speed of the process, it can be manufactured using different nanostructures. Additionally, due to the high reaction temperature of the CVD chamber, a variety of precursors can be employed. Another advantage of this method is the absence of large amounts of byproducts. Byproducts are generally gaseous and can easily be separated from the products in the compartment. Therefore, if pure raw materials or precursors are used in the process, pure products are also obtained. This is performed in such a way that the byproducts are negligible and easily removed from the environment. As a result, the primary product generated from the reaction of the precursor with the substrate is easily separated from the byproducts and the raw materials. If the goal is to produce oxide materials, the reaction can take place in the natural atmosphere, thus making the device simpler and cheaper. In sum, the composition of the desired products can be controlled by changing the type of substrate, the reaction, structure, shape, and [114,115,116,117,118]. Alteration of metallurgical conditions (environment, properties, and production process), often by the application of high-performance oxide coating layers, can solve problems such as corrosion, wear, conductivity, friction, etc. of the manufactured pieces [119,120,121,122].

5. Summary

The CVD technology is a process in which volatile compounds of different materials are used to deposit a nonvolatile solid on an appropriate substrate. This short review explains the industrial usage, various steps of the process, and the important factors influencing the products. Nowadays, CVD technology is widely used for the production of thin films and ceramic coatings. The CVD processes are commonly performed at high temperatures and low pressures. The three main steps of the technology are surface reaction (kinetic), diffusion (mass transfer), and desorption. The CVD process is divided into several steps according to the type of heat source, temperature, and pressure of the chamber. Each of these methods has advantages and disadvantages based on cost, uniformity of the coating, ability to create ceramic nanocomposite coatings, and characteristic control of the thin film that is produced. Moreover, important factors in terms of the properties of the coating deposited by CVD technology are the dimensions or geometry of the substrate, the shape of the substrate, the substrate temperature, the chemical composition of the substrate, the type of deposition process, the temperature inside the chamber, the purity of the target material, and the economic factors of the production. Finally, based on the review of this study, it is predicted that nanolayer ceramic and advanced ceramic coating industries would be the new venues for the applications of CVD technology to produce coatings usable at very high temperatures, particularly for hot corrosion purposes.

Author Contributions

M.S. (Masoud Sabzi): Writing—original draft; S.H.M.A.: Writing—original draft, Supervision; M.S. (Milad Shamsodin): Data curation; M.F.: Writing—review and editing; A.H.-N.: Writing—review and editing; P.F.: Writing—review and editing; N.P.: Writing—review and editing; U.L.: Writing—review and editing. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare that they have no conflict of interest.

References

  1. Hallad, S.A.; Banapurmath, N.R.; Hunashyal, A.M.; Shettar, A.S. Study of the effect of nanocomposite thin film coating on cutting tool tip for tribological applications. Mater. Today Proc. 2020, 27, 37–39. [Google Scholar] [CrossRef]
  2. Sabzi, M.; Mousavi Anijdan, S.H.; Asadian, M. The effect of substrate temperature on microstructural evolution and hardenability of tungsten carbide coating in hot filament chemical vapor deposition. Int. J. Appl. Ceram. Technol. 2018, 15, 1350–1357. [Google Scholar] [CrossRef]
  3. Perez-Mariano, J.; Lau K, H.; Alvarez, E.; Malhotra, R. Coatings for corrosion protection of porous substrates in gasifier components, Surf. Coat. Technol. 2008, 202, 2794–2800. [Google Scholar] [CrossRef]
  4. Delfini, A.; Vricella, A.; Bueno Morles, R.; Pastore, R.; Micheli, D.; Gugliermetti, F.; Marchetti, M. CVD nano-coating of carbon composites for space materials atomic oxygen shielding. Procedia Struct. Integr. 2017, 3, 208–216. [Google Scholar] [CrossRef]
  5. Li, Z.; Wang, Y.; Xiong, X.; Li, X.; Chen, Z.; Sun, W. Microstructure and growth behavior of Hf (Ta)C ceramic coating synthesized by low pressure chemical vapor deposition. J. Alloys Compd. 2017, 705, 79–88. [Google Scholar] [CrossRef]
  6. Mousavi Anijdan, S.H.; Sabzi, M.; Asadian, M.; Jafarian, H.R. Effect of sub-layer temperature during HFCVD process on morphology and corrosion behavior of tungsten carbide coating. Int. J. Appl. Ceram. Technol. 2019, 16, 243–253. [Google Scholar] [CrossRef] [Green Version]
  7. Strauss, H.W.; Chromik, R.R.; Hassani, S.; Klemberg-Sapieha, J.E. In situ tribology of nanocomposite Ti–Si–C–H coatings prepared by PE-CVD. Wear 2011, 272, 133–148. [Google Scholar] [CrossRef]
  8. Feng, B.; Cao, D.M.; Meng, W.J.; Rehn, L.E.; Baldo, P.M.; Doll, G.L. Probing for mechanical and tribological anomalies in the TiC/amorphous hydrocarbon nanocomposite coating system. Thin Solid Film. 2001, 398–399, 210–216. [Google Scholar] [CrossRef]
  9. Stueber, M.; Albers, U.; Leiste, H.; Ulrich, S.; Holleck, H.; Barna, P.B.; Kovacs, A.; Hovsepian, P.; Gee, I. Multifunctional nanolaminated PVD coatings in the system Ti–Al–N–C by combination of metastable fcc phases and nanocomposite microstructures. Surf. Coat. Technol. 2006, 200, 6162–6171. [Google Scholar] [CrossRef]
  10. Tamilarasan, T.R.; Rajendran, R.; Rajagopal, G.; Sudagar, J. Effect of surfactants on the coating properties and corrosion behaviour of Ni–P–nano-TiO2 coatings. Surf. Coat. Technol. 2015, 276, 320–326. [Google Scholar] [CrossRef]
  11. Sabzi, M.; Mersagh Dezfuli, S. Deposition of Al2O3 ceramic film on copper-based heterostructured coatings by aluminizing process: Study of the electrochemical responses and corrosion mechanism of the coating. Int. J. Appl. Ceram. Technol. 2019, 16, 195–210. [Google Scholar] [CrossRef] [Green Version]
  12. Shahriari, A.; Aghajani, H. Electrophoretic deposition of 3YSZ coating on AZ91D using an aluminum interlayer. Prot. Met. Phys. Chem. Surf. 2017, 53, 518–526. [Google Scholar] [CrossRef]
  13. Wang, J.B.; Ren, Z.; Hou, Y.; Yan, X.L.; Liu, P.Z.; Zhang, H.; Zhang, H.X.; Guo, J.J. A review of graphene synthesis at low temperatures by CVD methods. New Carbon Mater. 2020, 35, 193–208. [Google Scholar] [CrossRef]
  14. Pammi, S.V.N.; Maddaka, R.; Tran, V.-D.; Eom, J.-H.; Pecunia, V.; Majumder, S.; Kim, M.-D.; Yoon, S.G. CVD-deposited hybrid lead halide perovskite films for high-responsivity, self-powered photodetectors with enhanced photo stability under ambient conditions. Nano Energy 2020, 74, 104872. [Google Scholar] [CrossRef]
  15. Shahriari, A.; Aghajani, H. Electrophoretic Deposition of 3YSZ Coating on AZ91D Alloy Using Al and Ni-P Interlayers. J. Mater. Eng. Perform. 2016, 25, 4369–4382. [Google Scholar] [CrossRef]
  16. Pedersen, H.; Barry, S.T.; Sundqvist, J. Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition. J. Vac. Sci. Technol. A 2021, 39, 051001. [Google Scholar] [CrossRef]
  17. Hong, Y.L.; Liu, Z.; Wang, L.; Zhou, T.; Ma, W.; Xu, C.; Feng, S.; Chen, L.; Chen, M.L.; Sun, D.M.; et al. Chemical vapor deposition of layered two-dimensional MoSi2N4 materials. Science 2020, 369, 670–674. [Google Scholar] [CrossRef]
  18. Alagh, A.; Annanouch, F.E.; Umek, P.; Bittencourt, C.; Sierra-Castillo, A.; Haye, E.; FrançoisColomer, J.; Llobet, E. CVD growth of self-assembled 2D and 1D WS2 nanomaterials for the ultrasensitive detection of NO2. Sens. Actuators B Chem. 2021, 326, 128813. [Google Scholar] [CrossRef]
  19. Tamilarasan, T.R.; Sanjith, U.; Rajendran, R.; Rajagopal, G.; Sudagar, J. Effect of Reduced Graphene Oxide Reinforcement on the Wear Characteristics of Electroless Ni-P Coatings. J. Mater. Eng. Perform. 2018, 27, 3044–3053. [Google Scholar] [CrossRef]
  20. Tang, L.; Li, T.; Luo, Y.; Feng, S.; Cai, Z.; Zhang, H.; Liu, B.; Cheng, H.M. Vertical Chemical Vapor Deposition Growth of Highly Uniform 2D Transition Metal Dichalcogenides. ACS Nano 2020, 14, 4646–4653. [Google Scholar] [CrossRef]
  21. Raiford, J.A.; Oyakhire, S.T.; Bent, S.F. Applications of atomic layer deposition and chemical vapor deposition for perovskite solar cells. Energy Environ. Sci. 2020, 13, 1997–2023. [Google Scholar] [CrossRef]
  22. Paradisanos, I.; Shree, S.; George, A.; Leisgang, N.; Robert, C.; Watanabe, K.; Taniguchi, T.; Warburton, R.J.; Turchanin, A.; Marie, X.; et al. Controlling interlayer excitons in MoS2 layers grown by chemical vapor deposition. Nat. Commun. 2020, 11, 2391. [Google Scholar] [CrossRef] [PubMed]
  23. Li, G.; Yin, S.; Tan, C.; Chen, L.; Yu, M.; Li, L.; Yan, F. Fast Photothermoelectric Response in CVD-Grown PdSe2 Photodetectors with In-Plane Anisotropy. Adv. Funct. Mater. 2021, 31, 2104787. [Google Scholar] [CrossRef]
  24. Zhang, J.; Wang, F.; Shenoy, V.B.; Tang, M.; Lou, J. Towards controlled synthesis of 2D crystals by chemical vapor deposition (CVD). Mater. Today 2020, 40, 132–139. [Google Scholar] [CrossRef]
  25. Sabzi, M.; Mousavi Anijdan, S.H. Microstructural Analysis and Optical Properties Evaluation of Sol-Gel NiO-TiO2 Heterostructured Films used for Solar Panels. Ceram. Int. 2019, 45, 3250–3255. [Google Scholar] [CrossRef]
  26. Zhang, P.; Zhang, Y.; Chen, G.; Gai, W.; Kong, J. High-temperature oxidation behavior of CVD-SiC ceramic coating in wet oxygen and structural evolution of oxidation product: Experiment and first-principle calculations. Appl. Surf. Sci. 2021, 556, 149808. [Google Scholar] [CrossRef]
  27. Tong, M.; Fu, Q.; Liang, M.; Feng, T.; Hou, W.; Sun, J.; Shi, X. Effect of PyC-SiC double-layer interface on ablation behaviour of impacted CVD-SiCnws/HfC coating. Corr. Sci. 2021, 191, 109741. [Google Scholar] [CrossRef]
  28. Kong, J.; Zhang, Y.; Gai, W.; Wang, H.; Chen, G.; Zhang, P.; Li, H. Influence of crystallite morphology on the ablative behaviors of CVD-TaC coatings prepared on C/C composites beyond 2100 °C. Corr. Sci. 2022, 205, 110426. [Google Scholar] [CrossRef]
  29. Zhang, J.; Zhang, Y.; Chen, R.; Zhu, X.; Fu, Y. Effect of microstructure on the ablation behavior and mechanical properties of CVD-HfC coating. Corr. Sci. 2021, 192, 109815. [Google Scholar] [CrossRef]
  30. Baux, A.; Jacques, S.; Allemand, A.; Vignoles, G.L.; David, P.; Piquero, T.; Stempin, M.-P.; Chollon, G. Complex geometry macroporous SiC ceramics obtained by 3D-printing, polymer impregnation and pyrolysis (PIP) and chemical vapor deposition (CVD). J. Eur. Ceram. Soc. 2021, 41, 3274–3284. [Google Scholar] [CrossRef]
  31. Honda, Y.; Mikami, Y.; Inoue, M.; Shinag, K.; Abe, T. Low-temperature SiO2 film coatings onto Cu particles using the polygonal barrel-plasma chemical vapor deposition method. Appl. Surf. Sci. 2022, 588, 152646. [Google Scholar] [CrossRef]
  32. Fu, J.; Sun, Y.; Ji, Y.; Zhang, J. Fabrication of robust ceramic based superhydrophobic coating on aluminum substrate via plasma electrolytic oxidation and chemical vapor deposition methods. J. Mater. Process. Technol. 2022, 306, 117641. [Google Scholar] [CrossRef]
  33. Tong, M.; Fu, Q.; Hu, D.; Zhou, L.; Feng, T. Improvement of ablation resistance of CVD-HfC/SiC coating on hemisphere shaped C/C composites by introducing diffusion interface. J. Eur. Ceram. Soc. 2021, 41, 4067–4075. [Google Scholar] [CrossRef]
  34. Mallick, R.; Kumar, R.; Panda, A.; Sahoo, A.K. Hard turning performance evaluation using CVD and PVD coated carbide tools: A comparative study. Surf. Rev. Lett. 2022, 29, 2250020. [Google Scholar] [CrossRef]
  35. Zhang, J.; Zhang, Y.; Fu, Y.; Zhang, Y.; Zhu, X. Growth mechanism and ablation behavior of CVD-HfC coating on the surface of C/C composites and CVD-SiC coating. Corr. Sci. 2021, 192, 109819. [Google Scholar] [CrossRef]
  36. Li, B.; Li, H.; Yao, X.; Zhu, X.; Liu, N. Preparation and ablation resistance of ZrC nanowires-reinforced CVD-ZrC coating on sharp leading edge C/C composites. Appl. Surf. Sci. 2022, 584, 152617. [Google Scholar] [CrossRef]
  37. Wang, C. Fabrication of porous SiC nanostructured coatings on C/C composite by laser chemical vapor deposition for improving the thermal shock resistance. Ceram. Int. 2022, 48, 12450–12459. [Google Scholar] [CrossRef]
  38. Zheng, X.; Liu, Y.; Cao, Y.; Wang, J.; Zhang, Y. CVD synthesis of nanometer SiC coating on diamond particles. Ceram. Int. 2021, 47, 16162–16169. [Google Scholar] [CrossRef]
  39. Zhang, Y.; Yu, L.; Fu, T.; Wang, J.; Shen, F.; Cui, K. Microstructure evolution and growth mechanism of Si-MoSi2 composite coatings on TZM (Mo-0.5Ti-0.1Zr-0.02 C) alloy. J. Alloys Compd. 2022, 894, 162403. [Google Scholar] [CrossRef]
  40. Guo, Z.; Guo, B.; Zhao, Q.; Liu, W.; Zheng, Q. Optimisation of spray-mist-assisted laser machining of micro-structures on CVD diamond coating surfaces. Ceram. Int. 2021, 47, 22108–22120. [Google Scholar] [CrossRef]
  41. Pardo, A.; Gómez-Aleixandre, C.; Celis, J.P.; Buijnsters, J.G. Friction and wear behavior of plasma assisted chemical vapor deposited nanocomposites made of metal nanoparticles embedded in a hydrogenated amorphous carbon matrix. Surf. Coat. Technol. 2012, 206, 3116–3124. [Google Scholar] [CrossRef]
  42. Tynyshtykbayev, K.B.; Ainabayev, A.; Kononenko, O.; Chichkov, M.; Ramazanova, Z.; Zulkharnai, R.; Roshchupkin, D.; Sorokupudov, J.; Starkov, V.; Insepov, Z. Low temperature synthesis of graphene nanocomposites using surface passivation of porous silicon nanocrystallites with carbon atoms. Diam. Relat. Mater. 2019, 92, 53–60. [Google Scholar] [CrossRef]
  43. Perez-Mariano, J.; Caro, J.; Colominas, C. TiN/SiNx submicronic multilayer coatings obtained by chemical vapor deposition in a fluidized bed reactor at atmospheric pressure (AP/FBR-CVD). Surf. Coat. Technol. 2006, 201, 4021–4025. [Google Scholar] [CrossRef]
  44. Bobzin, K. High-performance coatings for cutting tools. CIRP J. Manuf. Sci. Technol. 2017, 18, 1–9. [Google Scholar] [CrossRef]
  45. Jang, J.; Kang, I.; Yi, K.W.; Cho, Y.W. Highly conducting fibrous carbon-coated silicon alloy anode for lithium ion batteries. Appl. Surf. Sci. 2018, 454, 277–283. [Google Scholar] [CrossRef]
  46. Meng, W.J.; Zhang, X.D.; Shi, B.; Jiang, J.C.; Rehn, L.E.; Baldo, P.M.; Tittsworth, R.C. Structure and mechanical properties of Ti–Si–N ceramic nanocomposite coatings. Surf. Coat. Technol. 2003, 163–164, 251–259. [Google Scholar] [CrossRef]
  47. Leem, M.; Lee, H.; Park, T.; Ahn, W.; Kim, H.; Lee, E.; Kim, H. Intriguing morphological evolution during chemical vapor deposition of HfS2 using HfCl4 and S on sapphire substrate. Appl. Surf. Sci. 2020, 509, 144701. [Google Scholar] [CrossRef]
  48. Hoyos-Palacio, L.M.; Paola Cuesta Castro, D.; Cristina Ortiz-Trujillo, I.; Elena Botero Palacio, L.; Janeth Galeano Upegui, B.; Javier Escobar Mora, N.; Antonio Carlos Cornelio, J. Compounds of carbon nanotubes decorated with silver nanoparticles via in-situ by chemical vapor deposition (CVD). J. Mater. Res. Technol. 2019, 8, 5893–5898. [Google Scholar] [CrossRef]
  49. Sevgili, E.; Karaman, M. Initiated chemical vapor deposition of poly(Hydroxypropyl methacrylate) thin films. Thin Solid Film. 2019, 687, 137446. [Google Scholar] [CrossRef]
  50. Méndez-Lozano, N.; Apátiga-Castro, M.; Manzano-Ramírez, A.; Rivera-Muñoz, E.M.; Velázquez-Castillo, R.; Alberto-González, C.; Zamora-Antuñano, M. Morphological study of TiO2 thin films doped with cobalt by Metal Organic Chemical Vapor Deposition. Results Phys. 2020, 16, 1–5. [Google Scholar] [CrossRef]
  51. Wang, M.; Jia, L.; Xu, H.; Li, A.; Peng, Y.; Tang, Z. Influence of pressure on chemical vapor deposition of boron nitride from BCl3/NH3/H2 gas mixtures. Ceram. Int. 2020, 46, 4843–4849. [Google Scholar] [CrossRef]
  52. Feng, X.; Jiang, L.; Li, D.; Tian, S.; Zhu, X.; Wang, H.; He, C.; Li, K. Progress and key challenges in catalytic combustion of lean methane. J. Energy Chem. 2022, 75, 173–215. [Google Scholar] [CrossRef]
  53. Zhang, Z.; Yang, F.; Zhang, H.; Zhang, T.; Wang, H.; Xu, Y.; Ma, Q. Influence of CeO2 addition on forming quality and microstructure of TiCx-reinforced CrTi4-based laser cladding composite coating. Mater. Charact. 2021, 171, 110732. [Google Scholar] [CrossRef]
  54. Morali, U.; Demiral, H.; Sensoz, S. Synthesis of carbon molecular sieve for carbon dioxide adsorption: Chemical vapor deposition combined with Taguchi design of experiment method. Powder Technol. 2019, 355, 716–726. [Google Scholar] [CrossRef]
  55. Zhao, Y.; Song, B.; Cui, X.; Ren, Y.; Yu, W.; Wang, Y. High electrocatalytic reduction using ZnS micropolyhedron: Direct sulfuration of ZIF-8 film on conducting glass by chemical vapour deposition. Mater. Lett. 2019, 250, 193–196. [Google Scholar] [CrossRef]
  56. Lee, S.; Park, W.K.; Yoon, Y.; Baek, B.; Yoo, J.S.; Kwon, S.B.; Kim, D.H.; Joon, Y.; Bong, H.; Kang, K.; et al. Quality improvement of fast-synthesized graphene films by rapid thermal chemical vapor deposition for mass production. Mater. Sci. Eng. B 2019, 242, 63–68. [Google Scholar] [CrossRef]
  57. Matsumura, H. Current Status of Catalytic Chemical Vapor Deposition Technology—History of Research and Current Status of Industrial Implementation. Thin Solid Film. 2019, 679, 42–48. [Google Scholar] [CrossRef]
  58. Zhang, S.; Wang, J.; Lu, Y.; Hou, W.; Cao, K.; Guo, S.; Wang, Z.; Wang, L. Highly ordered arrays and characterization of WS2 flakes grown by low pressure chemical vapour deposition. Chem. Phys. 2019, 523, 106–109. [Google Scholar] [CrossRef]
  59. Zou, C.; Li, B.; Liu, K.; Yang, X.; Li, D. Microstructure and mechanical properties of Si3N4f/BN composites with BN interphase prepared by chemical vapor deposition of borazine. J. Eur. Ceram. Soc. 2020, 40, 1139–1148. [Google Scholar] [CrossRef]
  60. Katamune, Y.; Arikaw, D.; Mori, D.; Izumi, A. Formation of phosphorus-incorporated diamond films by hot-filament chemical vapor deposition using organic phosphorus solutions. Thin Solid Film. 2019, 677, 28–32. [Google Scholar] [CrossRef]
  61. Madaka, R.; Kumari, J.; Kanneboina, V.; Jha, S.H.; Agarwal, P. Role of chamber pressure on crystallinity and composition of silicon films using silane and methane as precursors in hot-wire chemical vapour deposition technique. Thin Solid Film. 2019, 682, 126–130. [Google Scholar] [CrossRef]
  62. Liu, Q.; Liu, J.; Luan, X. Preparation of ZrC-SiC composite coatings by chemical vapor deposition and study of co-deposition mechanism. J. Mater. Sci. Technol. 2019, 35, 2942–2949. [Google Scholar] [CrossRef]
  63. Tarazona, A.; Bucio, T.D.; Oo, S.Z.; Petra, R.; Khokhar, A.Z.; Boden, S.A.; Gardes, F.Y.; Reed, G.T.; Chong, H.M. Hot wire chemical vapor deposition for silicon photonics: An emerging industrial application opportunity. Thin Solid Film. 2019, 676, 26–30. [Google Scholar] [CrossRef]
  64. Luo, J.; Wang, J.; Xia, F.; Huang, X. Direct growth of large area uniform double layer graphene films on MgO(100) substrates by chemical vapor deposition. Mater. Chem. Phys. 2019, 233, 213–219. [Google Scholar] [CrossRef]
  65. Chuang, C.; Mineharu, M.; Matsunag, M.; Liu, C.W.; Wu, B.Y.; Kenj, J.H.; Taniguchi, T.; Liang, C.T.; Aoki, N. Conductance interference effects in an electron-beam-resist-free chemical vapor deposition graphene device sandwiched between two h-BN sheets. Carbon 2019, 154, 238–243. [Google Scholar] [CrossRef]
  66. Basak, S.; Afzaal, M.; Yates, H.M. Optically tuned and large-grained bromine doped CH3NH3PbI3 perovskite thin films via aerosol-assisted chemical vapour deposition. Mater. Chem. Phys. 2019, 223, 157–163. [Google Scholar] [CrossRef] [Green Version]
  67. Silverio, V.; Canane, P.A.G.; Cardoso, S. Surface wettability and stability of chemically modified silicon, glass and polymeric surfaces via room temperature chemical vapor deposition. Colloids Surf. A Physicochem. Eng. Asp. 2019, 570, 210–217. [Google Scholar] [CrossRef]
  68. Dong, H.; Duan, A.; Zhong, S.; Zhang, Y.; Zhou, X. Chemical vapor deposition growth of broadband tunable light absorption and anti-reflection properties of VS2 nanostructure films. Mater. Lett. 2019, 252, 227–230. [Google Scholar] [CrossRef]
  69. Huang, Y. Modeling and analysis of SiO2 deposition during high-purity fused silica glass synthesis by SiCl4 chemical vapor deposition. Ceram. Int. 2019, 45, 10740–10745. [Google Scholar] [CrossRef]
  70. Wang, Z.; Yue, H.Y.; Yu, Z.M.; Huang, Z.; Gao, X.; Wang, B.; Song, S.S.; Guan, E.H.; Wang, W.Q.; Zhang, H.J. A novel 3D porous graphene foam prepared by chemical vapor deposition using nickel nanoparticles: Electrochemical determination of levodopa in the presence of uric acid. Microchem. J. 2019, 147, 163–169. [Google Scholar] [CrossRef]
  71. Liu, X.F.; Yan, G.G.; Shen, Z.W.; Wen, Z.X.; Chen, J.; He, Y.W.; Zhao, W.S.; Wang, L.; Guan, M.; Zhang, F. Homoepitaxial growth of multiple 4H-SiC wafers assembled in a simple holder via conventional chemical vapor deposition. J. Cryst. Growth 2019, 507, 283–287. [Google Scholar] [CrossRef]
  72. Gutiérrez-García, C.J.; Madaí Ambriz-Torres, J.; Jesús Contreras-Navarrete, J.; Gabriel Granados-Martínez, F.; Litzajaya García-Ruiz, D.; García-González, L.; Zamora-Peredo, L.; Fernando Ortega-Varela, L.; Richaud, A.; Méndez, F.; et al. Synthesis of carbon spheres by atmospheric pressure chemical vapor deposition from a serial of aromatic hydrocarbon precursors. Phys. E Low-Dimens. Syst. Nanostruct. 2019, 112, 78–85. [Google Scholar] [CrossRef]
  73. Arzaee, N.A.; Noh, M.F.; Aadenan, A.; Mumthas, I.N.; Ab Hamid, F.F.; Kamarudin, N.N.; Mohamed, N.A.; Ibrahim, M.A.; Ismail, A.F.; Teridi, M.A. Aerosol-assisted chemical vapour deposition of α-Fe2O3 nanoflowers for photoelectrochemical water splitting. Ceram. Int. 2019, 45, 16797–16802. [Google Scholar] [CrossRef]
  74. Ning, B.; Xia, T.; Tong, Z.X.; He, Y.L. Experimental and numerical studies of tungsten line growth in laser chemical vapor deposition. Int. J. Heat Mass Transf. 2019, 140, 564–578. [Google Scholar] [CrossRef]
  75. Singh, M.; Jha, H.S.; Agarwal, P. Synthesis of vertically aligned carbon nanoflakes by hot-wire chemical vapor deposition: Influence of process pressure and different substrates. Thin Solid Film. 2019, 678, 26–31. [Google Scholar] [CrossRef]
  76. Sharma, A.; Patwardhan, A.; Dasgupt, K.; Joshi, J.B. Kinetic study of boron doped carbon nanotubes synthesized using chemical vapour deposition. Chem. Eng. Sci. 2019, 207, 1341–1352. [Google Scholar] [CrossRef]
  77. Fan, X.; Sun, J.; Guo, W.; Ke, X.; Yan, C.; Li, X.; Dong, Y.; Xiong, F.; Fu, Y.; Wang, L.; et al. Chemical vapor deposition of graphene on refractory metals: The attempt of growth at much higher temperature. Synth. Met. 2019, 247, 233–239. [Google Scholar] [CrossRef]
  78. Jia, K.; Ci, H.; Zhang, J.; Sun, Z.; Ma, Z.; Zhu, Y.; Liu, S.; Liu, J.; Sun, L.; Liu, X.; et al. Superclean Growth of Graphene Using a Cold-Wall Chemical Vapor Deposition Approach. Angew. Chem. 2020, 59, 17214–17218. [Google Scholar] [CrossRef]
  79. Xu, K.; Liu, H.; Shi, Y.C.; You, J.Y.; Ma, X.Y.; Cui, H.J.; Yan, Q.B.; Chen, G.C.; Su, G. Preparation of T-carbon by plasma enhanced chemical vapor deposition. Carbon 2020, 157, 270–276. [Google Scholar] [CrossRef]
  80. Ponja, S.D.; Sathasivam, S.; Parkin, I.P.; Carmalt, C.J. Highly conductive and transparent gallium doped zinc oxide thin films via chemical vapor deposition. Sci. Rep. 2020, 10, 638. [Google Scholar] [CrossRef]
  81. Fraga, M.; Pessoa, R. Progresses in Synthesis and Application of SiC Films: From CVD to ALD and from MEMS to NEMS. Micromachines 2020, 11, 799. [Google Scholar] [CrossRef]
  82. Yang, X.; Li, W.; Lv, J.; Sun, G.; Shi, Z.; Su, Y.; Lian, X.; Shao, Y.; Zhi, A.; Tian, X.; et al. In situ separator modification via CVD-derived N-doped carbon for highly reversible Zn metal anodes. Nano Res. 2021, 15, 9785–9791. [Google Scholar] [CrossRef]
  83. Mwafy, E.A. Eco-friendly approach for the synthesis of MWCNTs from waste tires via chemical vapor deposition, Environmental Nanotechnology. Monit. Manag. 2020, 14, 100342. [Google Scholar]
  84. Mersagh Dezfuli, S.; Sabzi, M. Deposition of ceramic nanocomposite coatings by electroplating process: A review of layer-deposition mechanisms and effective parameters on the formation of the coating. Ceram. Int. 2019, 45, 21835–21842. [Google Scholar] [CrossRef]
  85. Mersagh Dezfuli, S.; Sabzi, M. Deposition of self-healing thin films by the sol–gel method: A review of layer-deposition mechanisms and activation of self-healing mechanisms. Appl. Phys. A 2019, 125, 1–8. [Google Scholar] [CrossRef]
  86. Dai, C.; Li, B.; Li, J.; Zhao, B.; Wu, R.; Ma, H.; Duan, X. Controllable synthesis of NiS and NiS2 nanoplates by chemical vapor deposition. Nano Res. 2020, 13, 2506–2511. [Google Scholar] [CrossRef]
  87. Xia, M.; Zhou, Z.; Su, Y.; Li, Y.; Wu, Y.; Zhou, N.; Zhang, H.; Xiong, X. Scalable synthesis SiO@C anode by fluidization thermal chemical vapor deposition in fluidized bed reactor for high-energy lithium-ion battery. Appl. Surf. Sci. 2019, 467–468, 298–308. [Google Scholar] [CrossRef]
  88. Saini, S.; Mele, P.; Oyake, T.; Shiomi, J.; Niemelä, J.P.; Karppinen, M.; Miyazaki, K.; Li, C.; Kawaharamura, T.; Ichinose, A.; et al. Porosity-tuned thermal conductivity in thermoelectric Al-doped ZnO thin films grown by mist-chemical vapor deposition. Thin Solid Film. 2019, 685, 180–185. [Google Scholar] [CrossRef]
  89. Yin, T.; Jiang, B.; Su, Z.; Fan, Z.; Huang, Q. Numerical simulation of carrier gas effects on flow field, species concentration and deposition rate in the chemical vapor deposition of carbon. New Carbon Mater. 2018, 33, 357–363. [Google Scholar] [CrossRef]
  90. Wang, X.; Wu, S.; Zhong, Y.; Wang, Y.; Pan, Y.; Tang, H. Electrochemically mediated decarboxylative acylation of N-nitrosoanilines with α-oxocarboxylic acids. Chin. Chem. Lett. 2022, 34, 107537. [Google Scholar] [CrossRef]
  91. Ou, C.; Pan, Y.; Tang, H. Electrochemically promoted N-heterocyclic carbene polymer-catalyzed cycloaddition of aldehyde with isocyanide acetate. Sci. China Chem. 2022, 65, 1873–1878. [Google Scholar] [CrossRef]
  92. An, L.; Zhang, T.; Lei, X.; Yang, P.; Liu, Y. Local heat and mass transfer characteristics of different channel configurations in polysilicon chemical vapor deposition reactor. Sol. Energy 2020, 196, 494–504. [Google Scholar] [CrossRef]
  93. Akamatsu, K.; Suzuki, M.; Nakao, A.; Nakao, S. Development of hydrogen-selective dimethoxydimethylsilane-derived silica membranes with thin active separation layer by chemical vapor deposition. J. Membr. Sci. 2019, 580, 268–274. [Google Scholar] [CrossRef]
  94. Feng, C.; Liu, X.; Wen, S.; An, Y. Controlled growth and characterization of In2O3 nanowires by chemical vapor deposition. Vacuum 2019, 161, 328–332. [Google Scholar] [CrossRef]
  95. Yoshimune, M.; Haraya, K. Simple control of the pore structures and gas separation performances of carbon hollow fiber membranes by chemical vapor deposition of propylene. Sep. Purif. Technol. 2019, 223, 162–167. [Google Scholar] [CrossRef]
  96. Huang, X.; Sun, S.; Lu, S.; Li, K.; Tu, G.; Song, J. Synthesis and characterization of oxidation-resistant TiB2 coating on molybdenum substrate by chemical vapor deposition. Mater. Lett. 2018, 228, 53–56. [Google Scholar] [CrossRef]
  97. Xin, B.; Sun, G.; Lao, C.; Shang, D.; Zhang, X.; Wen, Z.; He, M. Chemical vapor deposition synthesis of carbon nanosprouts on calcined stainless steel. Mater. Lett. 2019, 238, 290–293. [Google Scholar] [CrossRef]
  98. Ciprian, M.; Xu, P.; Chaemchuen, S.; Tu, R.; Zhuiykov, S.; Heynderickx, P.M.; Verpoort, F. MoO3 nanoparticle formation on zeolitic imidazolate framework-8 by rotary chemical vapor deposition. Microporous Mesoporous Mater. 2018, 267, 185–191. [Google Scholar] [CrossRef]
  99. Zhao, X.; Gou, L. Comparative analysis of graphene grown on copper and nickel sheet by microwave plasma chemical vapor deposition. Vacuum 2018, 153, 48–52. [Google Scholar] [CrossRef]
  100. He, C.; Bu, X.; Yang, S.; Hea, P.; Ding, G.; Xie, X. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance. Appl. Surf. Sci. 2018, 436, 373–381. [Google Scholar] [CrossRef]
  101. Bigiani, L.; Maccato, C.; Gasparotto, A.; Sada, C.; Barreca, D. Structure and properties of Mn3O4 thin films grown on single crystal substrates by chemical vapor deposition. Mater. Chem. Phys. 2019, 223, 591–596. [Google Scholar] [CrossRef]
  102. Matsumoto, S.; Kaneda, Y.; Ito, A. Highly self-oriented growth of (020) and (002) monoclinic HfO2 thick films using laser chemical vapor deposition. Ceram. Int. 2020, 46, 1810–1815. [Google Scholar] [CrossRef]
  103. Khanna, S.; Utsav, B.; Patel, R.; Marathey, P.; Chaudari, R.; Vora, J.; Banerjee, R.; Ray, R.; Mukhopadhyay, I. Growth of titanium dioxide nanorod over shape memory material using chemical vapor deposition for energy conversion application. Mater. Today Proc. 2020, 28, 475–479. [Google Scholar] [CrossRef]
  104. Katsui, H.; Kondo, N. Preferred orientations and microstructures of lanthanum phosphate films prepared via laser chemical vapor deposition. J. Cryst. Growth 2019, 519, 46–53. [Google Scholar] [CrossRef]
  105. Ito, A.; Sekiyam, M.; Hara, T.; Goto, T. Self-oriented growth of β-Yb2Si2O7 and X1/X2-Yb2SiO5 coatings using laser chemical vapor deposition. Ceram. Int. 2020, 46, 9548–9553. [Google Scholar] [CrossRef]
  106. Liang, Y. Chemical vapor deposition synthesis of Ge doped ZnO nanowires and the optical property investigation. Phys. Lett. A 2019, 383, 2928–2932. [Google Scholar] [CrossRef]
  107. Feng, X.; Xia, L.; Jiang, Z.; Tian, M.; Zhang, S.; He, C. Dramatically promoted toluene destruction over Mn@Na-Al2O3@Al monolithic catalysts by Ce incorporation: Oxygen vacancy construction and reaction mechanism. Fuel 2022, 326, 125051. [Google Scholar] [CrossRef]
  108. Pan, Y.; Xia, Q.; Zhu, J.; Wang, Y.; Liang, Y.; Wang, H.; Tang, H.; Pan, Y. Electrochemically Mediated Carboxylative Cyclization of Allylic/Homoallylic Amines with CO2 at Ambient Pressure. Org. Lett. 2022, 24, 8239–8243. [Google Scholar] [CrossRef]
  109. Tkadletz, M.; Hofer, C.; Wüstefeld, C.; Schalk, N.; Motylenko, M.; Rafaj, D.; Holzschuh, H.; Bürgin, W.; Sartory, B.; Mitterer, C.; et al. Thermal stability of nanolamellar fcc-Ti1−xAlxN grown by chemical vapor deposition. Acta Mater. 2019, 174, 195–205. [Google Scholar] [CrossRef]
  110. Meng, Y.; Yin, H.; Liu, M.; Ma, T.; Jiang, S. Experimental study on the generation of carbonaceous dust formed by chemical vapor deposition in HTGR. Nucl. Eng. Des. 2018, 335, 172–177. [Google Scholar] [CrossRef]
  111. Elghoul, N.; Kraiem, S.; Rahmouni, H.; Khirouni, K. Annealing effects on physical properties of a Au/a-Si:H Schottky diode prepared via the plasma-enhanced chemical vapor deposition technique. J. Phys. Chem. Solids 2019, 132, 18–25. [Google Scholar] [CrossRef]
  112. Young Jang, D.; Deok Han, G.; Rak Choi, H.; Sik Kim, M.; Jong Choi, H.; Hyung Shim, J. La0.6Sr0.4Co0.2Fe0.8O3-δ cathode surface-treated with La2NiO4+δ by aerosol-assisted chemical vapor deposition for high performance solid oxide fuel cells. Ceram. Int. 2019, 45, 12366–12371. [Google Scholar] [CrossRef]
  113. Li, X.; Rafie, A.; Smolin, Y.Y.; Simotwo, S.; Kalra, V.; Lau, K.K.S. Engineering conformal nanoporous polyaniline via oxidative chemical vapor deposition and its potential application in supercapacitors. Chem. Eng. Sci. 2019, 194, 156–164. [Google Scholar] [CrossRef]
  114. Demiral, H.; Demiral, I. Preparation and characterization of carbon molecular sieves from chestnut shell by chemical vapor deposition. Adv. Powder Technol. 2018, 29, 3033–3039. [Google Scholar] [CrossRef]
  115. Najafabadi, A.H.; Mozaffarinia, R.; Rahimi, H.; Razavi, R.S.; Paimozd, E. Sol–gel processing of hybrid nanocomposite protective coatings using experimental design. Prog. Org. Coat. 2013, 76, 293–301. [Google Scholar] [CrossRef]
  116. Hojjati Najafabadi, A.; Shoja Razavi, R.; Mozaffarinia, R.; Rahimi, H. A new approach of improving rain erosion resistance of nanocomposite sol-gel coatings by optimization process factors. Metall. Mater. Trans. A 2014, 45, 2522–2531. [Google Scholar] [CrossRef]
  117. Qiu, L.; Du, Y.; Wang, S.; Du, L.; Chen, Z.; Wang, J.; Zhong, Z.; Shi, Z.; Albir, L. Through-process modeling and experimental verification of titanium carbonitride coating prepared by moderate temperature chemical vapor deposition. Surf. Coat. Technol. 2019, 359, 278–288. [Google Scholar] [CrossRef]
  118. Schüler, C.; Betzenbichler, F.; Drescher, C.; Hinrichsen, O. Optimization of the synthesis of Ni catalysts via chemical vapor deposition by response surface methodology. Chem. Eng. Res. Des. 2018, 132, 303–312. [Google Scholar] [CrossRef]
  119. Wu, R.; Tan, Y.; Meng, F.; Zhang, Y.; Huang, Y. PVDF/MAF-4 composite membrane for high flux and scaling-resistant membrane distillation. Desalination 2022, 540, 116013. [Google Scholar] [CrossRef]
  120. Cheng, M.; Yan, X.; Cui, Y.; Han, M.; Wang, X.; Wang, J.; Zhang, R. An eco-friendly film of pH-responsive indicators for smart packaging. J. Food Eng. 2022, 321, 110943. [Google Scholar] [CrossRef]
  121. Rahimi, H.; Mozafarinia, R.; Shoja Razavi, R.; Paimozd, E.; Hojjati-Najafabadi, A. Processing and Properties of GPTMS-TEOS Hybrid Coatings on 5083 Aluminium Alloy, Advanced Materials Research; Trans Tech Publications Ltd.: Bäch SZ, Switzerland, 2011; Volume 239. [Google Scholar]
  122. Mahmoodi, S.; Hassan, D.A.; Hojjati-Najafabadi, A.; Li, W.; Liao, L.; Moshayedi, A.J.; Huang, X.; Khajavi, M.N. Quality enhancement of copper oxide thin film synthesized under elevated gravity acceleration by two-axis spin coating. Ceram. Int. 2020, 46, 7421–7429. [Google Scholar] [CrossRef]
Figure 1. An example of a CVD system for the deposition of ceramic nanocomposite coatings.
Figure 1. An example of a CVD system for the deposition of ceramic nanocomposite coatings.
Coatings 13 00188 g001
Figure 2. Schematic of the main steps of the CVD process.
Figure 2. Schematic of the main steps of the CVD process.
Coatings 13 00188 g002
Figure 3. Basic models available for the growth process and layering on the substrate.
Figure 3. Basic models available for the growth process and layering on the substrate.
Coatings 13 00188 g003
Figure 4. The relationship between kinetic factor (growth rate) and diffusion with temperature during the deposition (ideal indicator chart for coatings deposited in the CVD process).
Figure 4. The relationship between kinetic factor (growth rate) and diffusion with temperature during the deposition (ideal indicator chart for coatings deposited in the CVD process).
Coatings 13 00188 g004
Figure 5. Different steps for deposition of ceramic nanocomposite coatings in the CVD technology.
Figure 5. Different steps for deposition of ceramic nanocomposite coatings in the CVD technology.
Coatings 13 00188 g005
Table 1. Example publications demonstrating the range of ceramic coatings prepared by the CVD technology.
Table 1. Example publications demonstrating the range of ceramic coatings prepared by the CVD technology.
No.Reference Specifications
1High-Temperature Oxidation Behavior of CVD-SiC Ceramic Coating in Wet Oxygen and Structural Evolution of Oxidation Product: Experiment and First-Principle Calculations [26].
2Effect of PyC-SiC Double-Layer Interface on Ablation Behaviour of Impacted CVD-SiCnws/HfC Coating [27].
3Influence of Crystallite Morphology on the Ablative Behaviors of CVD-TaC Coatings Prepared on C/C Composites Beyond 2100 °C [28].
4Effect of Microstructure on the Ablation Behavior and Mechanical Properties of CVD-HfC Coating [29].
5Complex Geometry Macroporous SiC Ceramics Obtained by 3D-printing, Polymer Impregnation and Pyrolysis (PIP) and Chemical Vapor Deposition (CVD) [30].
6Low-Temperature SiO2Film Coatings onto Cu Particles Using the Polygonal Barrel-plasma Chemical Vapor Deposition Method [31].
7Fabrication of Robust Ceramic Based Super hydrophobic Coating on Aluminum Substrate via Plasma Electrolytic Oxidation and Chemical Vapor Deposition Methods [32].
8Improvement of Ablation Resistance of CVD-HfC/SiC Coating on Hemisphere Shaped C/C Composites by Introducing Diffusion Interface [33].
9Hard Turning Performance Evaluation Using CVD and PVD Coated Carbide Tools: A Comparative Study [34].
10Growth Mechanism and Ablation Behavior of CVD-HfC Coating on the Surface of C/C Composites and CVD-SiC Coating [35].
11Preparation and Ablation Resistance of ZrC Nanowires-Reinforced CVD-ZrC Coating on Sharp Leading Edge C/C Composites [36].
12Fabrication of Porous SiC Nanostructured Coatings on C/C Composite by Laser Chemical Vapor Deposition for Improving the Thermal Shock Resistance [37].
13CVD Synthesis of Nanometer SiC Coating on Diamond Particles [38].
14Microstructure Evolution and Growth Mechanism of Si-MoSi2Composite Coatings on TZM (Mo-0.5Ti-0.1Zr-0.02 C) Alloy [39]
15Optimisation of Spray-Mist-Assisted Laser Machining of Micro-Structures on CVD Diamond Coating Surfaces [40].
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Sabzi, M.; Mousavi Anijdan, S.H.; Shamsodin, M.; Farzam, M.; Hojjati-Najafabadi, A.; Feng, P.; Park, N.; Lee, U. A Review on Sustainable Manufacturing of Ceramic-Based Thin Films by Chemical Vapor Deposition (CVD): Reactions Kinetics and the Deposition Mechanisms. Coatings 2023, 13, 188. https://doi.org/10.3390/coatings13010188

AMA Style

Sabzi M, Mousavi Anijdan SH, Shamsodin M, Farzam M, Hojjati-Najafabadi A, Feng P, Park N, Lee U. A Review on Sustainable Manufacturing of Ceramic-Based Thin Films by Chemical Vapor Deposition (CVD): Reactions Kinetics and the Deposition Mechanisms. Coatings. 2023; 13(1):188. https://doi.org/10.3390/coatings13010188

Chicago/Turabian Style

Sabzi, M., S. H. Mousavi Anijdan, M. Shamsodin, M. Farzam, A. Hojjati-Najafabadi, P. Feng, N. Park, and U. Lee. 2023. "A Review on Sustainable Manufacturing of Ceramic-Based Thin Films by Chemical Vapor Deposition (CVD): Reactions Kinetics and the Deposition Mechanisms" Coatings 13, no. 1: 188. https://doi.org/10.3390/coatings13010188

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop