Next Article in Journal
Novel Micronized Mica Modified Casein–Aluminum Hydroxide as Fire Retardant Coatings for Wood Products
Previous Article in Journal
Preparation and Enhanced Antimicrobial Activity of Thymol Immobilized on Different Silica Nanoparticles with Application in Apple Juice
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

The Effect of Interlayer Microstructure on the Thermal Boundary Resistance of GaN-on-Diamond Substrate

1
Center for Advanced Measurement Science, National Institute of Metrology, Beijing 100029, China
2
Research Laboratory of Thermal Flow and Process Control, Beijing Institute of Metrology, Beijing 100029, China
3
Institute for Advanced Materials and Technology, University of Science and Technology Beijing, Beijing 100083, China
*
Author to whom correspondence should be addressed.
Coatings 2022, 12(5), 672; https://doi.org/10.3390/coatings12050672
Submission received: 1 April 2022 / Revised: 1 May 2022 / Accepted: 7 May 2022 / Published: 14 May 2022
(This article belongs to the Section Thin Films)

Abstract

:
Diamond has the highest thermal conductivity of any natural material. It can be used to integrate with GaN to dissipate heat from AlGaN/GaN high electron mobility transistor (HEMT) channels. Much past work has investigated the thermal properties of GaN-on-diamond devices, especially the thermal boundary resistance between the diamond and GaN (TBReff,Dia/GaN). However, the effect of SiNx interlayer structure on the thermal resistance of GaN-on-diamond devices is less investigated. In this work, we explore the role of different interfaces in contributing to the thermal boundary resistance of the GaN-on-diamond layers, specifically using 100 nm layer of SiNx, 80 nm layer of SiNx, 100 nm layer of SiNx with a 20 nm × 20 nm periodic structure. Through combination with time-domain thermoreflectance measurement and microstructural analysis, we were able to determine that a patterning SiNx interlayer provided the lower thermal boundary resistance (32.2 ± 1.8 m2KGW−1) because of the diamond growth seeding and the diamond nucleation surface. In addition, the patterning of the SiNx interlayer can effectively improve the interface bonding force and diamond nucleation density and reduce the thermal boundary resistance of the GaN-on-diamond. This enables significant improvement in heat dissipation capability of GaN-on-diamond with respect to GaN wafers.

1. Introduction

Gallium nitride (GaN)-based semiconductor materials have the advantages of large band gap and high carrier mobility. The GaN has a wide range of applications in high frequency, high temperature, high power devices and circuits. With increasing power density and the decreasing size of GaN-based electronic power devices, heat dissipation has become a key problem for practical applications [1,2,3,4,5]. However, the traditional package-level heat dissipation technology can alleviate the heat dissipation of the device to a certain extent. However, the GaN HEMT structure is usually heteroepitaxially grown on substrates such as silicon (Si) or sapphire and silicon carbide (SiC). Limited by the low thermal conductivity of the substrate material and the high defect density of the GaN nucleation layer, the heat dissipation technology at the package-level cannot fundamentally solve the heat dissipation problem of the device [6,7,8,9]. However, the heat will be concentrated in a few discrete locations within submicron length scales and result in an increase in the device temperature, which will severely limit the reliability and performance of the devices [10,11,12,13]. Therefore, it is necessary to start from the internal structure of GaN devices to fundamentally solve the heat dissipation of GaN devices. Traditional substrates, such as SiC, sapphire and silicon, cannot easily meet such a heat dissipation requirement. The important parameters of the devices are highly dependent on the thermal management technology of the device, such as gain, saturated power and maximum frequency. Therefore, it is very important to replace traditional GaN substrates with the substrate materials that the substrate with the property of high thermal conductivity and high insulation properties plays an important role in fully exploiting the potential capabilities of the GaN devices [14,15].
Diamond is a new generation of ultra-wide bandgap semiconductor material, which has a series of advantages such as large band gap, high thermal conductivity, high carrier mobility, high saturation velocity, high hardness and good chemical stability. The thermal conductivity of single crystal and high-quality polycrystalline diamond can be as high as 2000 W/m·K or more, which is the highest thermal conductivity among natural materials known so far. The thermal conductivity of diamond is four to five times that of copper and silver, and it is also four times greater than that of silicon carbide. Therefore, the application of diamond to devices and chips is of great significance to solve the heat dissipation problems of existing devices and chips. In order to avoid a rise in temperature of GaN devices, the diamond grown on the GaN surface by chemical vapor deposition has been reported [16,17,18]. The simulated thermal boundary resistance of GaN-on-diamond sample is relatively lower compared to HEMTs on GaN/Si substrates [19]. The areal dissipation density of the GaN-on-diamond structure is more than three times higher than that of the conventional substrate [7,8,16]. However, GaN is wurtzite structure, while diamond has a cubic structure, the two crystal structures are different. This results in a huge lattice mismatch between the GaN and diamond. In addition, the thermal expansion coefficients of GaN and diamond are quite different; there is a serious mismatch between thermal expansion coefficients and lattice constants of GaN and diamond. Therefore, an interlayer is added between GaN and diamond to alleviate thermal and lattice mismatches. At present, in the research on the integration of diamond and GaN HEMT to solve the heat dissipation of the device, the more researched technology is peeling off the prepared GaN HEMT from the original substrate and bonding on the diamond substrate. Another method to directly epitaxial polycrystalline diamond on GaN-based semiconductors is to solve the heat dissipation of GaN-based semiconductor materials. However, the growth of diamond requires high temperature and strong plasma, and there are also problems such as lattice mismatch and thermal mismatch, which make it difficult to achieve hetero-integrated epitaxy of diamond and GaN-based semiconductor materials. Therefore, the SiNx film is introduced as the interlayer to relieve the problems of thermal expansion coefficients and lattice mismatch between the GaN and diamond. The SiNx film also protects the surface of the GaN from the harsh diamond growth conditions. The thermal resistances of the diamond nucleation layer and the interlayer are the key to the thermal boundary resistance of GaN-on-diamond devices. The large thermal boundary resistance comes from the low thermal conductivity and amorphous nature of the SiNx interlayer (SiNx approximately 1 W/m·K). In addition, the scattering of phonon at the grain boundaries of the diamond nucleation layer and the interface of SiNx/diamond also reduce the phonon mean free path. Much previous work has focused on measuring the characterization of the thermal boundary resistance between the GaN layer and the diamond substrate by thermoreflectance techniques [8,20,21,22,23,24]. Luke et al. [8] explored the effect of different interlayer materials on the thermal boundary resistance of the GaN-on-diamond structure interface. The AlN and no interlayers samples were observed in the larger TBR as a result of a harsh growth environment that roughened the interface. Sun [21] et al. can significantly reduce the thermal boundary resistance by decreasing the SiNx layer thickness and minimizing the diamond nucleation region. Liu [22] reported that GaN-on-diamond with good microstructure, thermal properties and stability can be obtained by using a diamond seed crystal with a smaller particle size. The above studies provide new ideas for reducing the thermal boundary resistance. However, there are few reports on the effect of SiNx interlayer periodic microstructure on the thermal boundary resistance of GaN-on-diamond devices.
In this work, the effect of the periodic pattern of SiNx interlayer on the thermal boundary resistance of GaN-on-diamond devices is investigated. Using semi-classical phonon transport theory, the effect of SiN interlayer microstructure on thermal boundary resistance of GaN-on-diamond devices is predicted by considering the scattering of phonons at defects and interfaces. The purpose of this study is to better understand the interfacial layers and structure design of the SiNx interlayer, which play a significant role in enhancing thermal transport in GaN-on-diamond devices through decreasing TBReff,Dia/GaN of the interface.

2. Experimental Details

A 2 μm polycrystalline diamond films are deposited on two standard GaN-on-Si wafers, which are derived from commercially available wafers. To protect the GaN surface from the plasma chemical vapor deposition environment, a SiNx interlayer is deposited on the GaN surface before the diamond deposition. In order to avoid being partially etched in plasma, the thickness of SiNx layer was increased to 100 nm (make sure it is compact and crackless). The substrates were ultrasonically cleaned with acetone and ethanol for 5 min successively, then rinsed with deionized water. The SiNx interlayer was deposited by using radio frequency (RF) magnetron sputtering. After that, one of the SiNx interlayer surfaces is prepared as a periodic structure by inductively coupled plasma etching (ICP) combined with a precise mask control method, and the periodic structure is 20 nm cubic pits with the step length of 20 nm, as shown in Figure 1b. The ICP etching process parameters are shown in Table 1. The other SiNx surface is untreated. In addition, an 80 nm thick SiNx interlayer is prepared as a comparative sample. It is used to analyze the effect of periodic structure on SiNx thickness reduction. Henceforth, they are referred to as samples SiNx(orig), SiNx(peri) and SiNx(80 nm), respectively. Subsequently, to achieve a high nucleation density of diamond, three samples are ultrasonically soaked in nanodiamond solution for a few seconds as seeding (grain size ~5 nm). Then the samples are washed in deionized water and dried. After abrasion, the diamond seeds were actually embedded in the SiNx layer. Finally, a polycrystalline diamond layer with a thickness of about 2 μm is grown on the surface of GaN/SiNx by microwave plasma chemical vapor deposition (MPCVD) system (MPCVD is a self-made quartz bell structure, MPG-2050C, 5 kW, USTB, Beijing, China). The surface morphologies and cross-section morphologies of the deposited diamond films were observed by scanning electron microscopy (Quant FEG450, FEI, Hillsboro, OR, USA) and transmission electron microscopy (JEM-2100F, JEOL, Tokyo, Japan). The adhesion between diamond and gallium nitride was evaluated using a micro-scratch tester (WS-2005, Lanzhou Institute of Chemical Physics, Lanzhou, China). The indenter used in the micro-scratch test is a diamond indenter with a cone angle of 120° and a tip radius of 0.2 mm. The continuous linear loading is 0–20 N, and the loading speed is 0.3–0.6 N/min.
To analyze the thermal properties of the resulting diamond/SiNx/GaN structure, a time-domain thermoreflectance technique is used, which first deposited a 100 nm thick aluminum film on the diamond by electron beam evaporation. The aluminum film not only acts as a transducer layer, but also acts as a sensor layer in the time-domain thermoreflectance (TDTR) technology, absorbing the energy of the pump laser to reflect the temperature change [6,7,8]. The above experimental procedures have been described in published articles [9]. More technical details and experimental parameter settings are given in ref [6,7,21]. Hui and Tan reported on the transmission-line axis-symmetric thermal transport model, the analysis of heat reflection data, and the extraction of thermal parameters [21]. For simplicity, the thermal boundary resistance between Al transducer and diamond is TBReff,Metal/Dia, and the thermal resistance of SiN is included in the thermal boundary resistance between diamond and GaN, and it is called TBReff,Dia/GaN.

3. Results and Discussion

Figure 2 shows the normalized thermal reflectivity and the sensitivity of each parameter for the samples. The three samples were deposited under the same growth conditions. Figure 2a shows that the thermal signal of the periodic structure of SiNx sample changes faster with time, implying faster heat transfer. Figure 2b shows that the thermal properties of each structure contribute differently to the results. Thermal diffusion through each layer affects the reflectance changes (surface temperature) recorded at different time scales. It can be seen that the TBR,dia/GaN(TBReff,dia/GaN) and kdia are the most sensitive. The results show that the structure can obtain accurate TBReff,dia/GaN by the amplitude signal of TDTR. In addition, the accuracy of kdia has a greater impact on the accuracy of the Rdia/GaN test values because kdia has the greatest sensitivity, while other parameters have less effect on the accuracy. It also means that the amplitude sensitivity of Rdia/GaN and kdia does not change much if either value of Rdia/GaN is suitable for our measurement data. A more detailed analysis of sensitivity is reflected in a previous report of Jia et al. [9]. To calculate the thermal boundary resistance of the GaN-on-diamond, it is first necessary to obtain the thermophysical parameters of each layer used in the TDTR analysis data, as shown in Table 2. In addition, the required value of RAl/dia (TBRAl/dia) and RGaN/Si (TBRGaN/Si) can be found in Reference [9].
Table 3 shows the extracted average TBReff,Dia/GaN of GaN-on-Diamond with different structure SiNx layers. The results show that the TBReff,Dia/GaN of the GaN-on-diamond with SiNx(orig) interlayer is 40.5 ± 2.5 m2KGW−1, and with the SiNx(Peri) interlayer is 32.2 ± 1.8 m2KGW−1, with the SiNx(80 nm) interlayer is 38.8 ± 1.5 m2KGW−1, respectively. A previous 21 report showed that reducing the TBR of the GaN-on-Diamond from 50 ± 5 to 12 m2KGW−1 can be obtained by reducing the thickness of the SiNx layer and the diamond nucleation layer. Zhou et al. [6] and Luke et al. [8] explore the role of different interfaces in contributing to the thermal resistance of the interface of GaN-on-diamond layers, specifically using 5 nm layers of AlN, SiNx, or no interlayer at all. They achieved thermal boundary resistance values of less than 10 m2KGW−1 with 5 nm SiNx interlayer. From this, it can be seen that reducing the thickness of the interlayer and the diamond nucleation layer can effectively reduce the TBR of the GaN-on-diamond. However, the literature reports that the limit of the TBR is close to 5.5 m2KGW−1, when considering the contribution of the diffuse mismatch model (DMM) theory and SiNx thermal conductivity [6,30,31]. In contrast, only considering the mismatch between GaN and diamond, the TBR is 2 m2KGW−1 [24]. This means that the DMM theory and SiNx thermal conductivity contribute more than 50% to the TBR of the GaN-on-diamond. Therefore, improving the phonon scattering mismatch of the SiNx intermediate layer is an effective entry for reducing the interface thermal resistance. It should be noted that a similar study has also been reported in the literature [21]. In their analysis, although the sample values are different with different structure interlayers, they found a similar trend. The difference is that Sun used a different SiNx interlayer; in this paper, the periodic structure of the SiNx interlayer is used. The results show that a 20% reduction in SiNx thickness reduces the TBR by 5%, while the periodic structure of SiNx reduces the TBR by 20%. It seems that the SiNx thickness of the periodic structure is reduced by 20%, but the TBR is reduced by more, which indicates that the additional reduced TBR should be derived from the SiNx periodic structure.
The reason for the difference in interfacial thermal resistance is that the periodic structure increases the contact area between SiNx and diamond, which may increase the number of phonons transmitted at the interface, similar to the principle of enhanced heat dissipation by fins in a macroscopic heat sink. The GaN-on-diamond interfacial region is used to investigate the microstructural reasons for the differences in TBReff,dia/GaN values observed for each interlayer by cross-sectional TEM micrographs, as shown in Figure 3. The results show that this is consistent with a low value for the TBReff,dia/GaN. This shows that the good interface between diamond and GaN enhances thermal transport. In the TEM micrograph, the periodic structure sample forms a wave-like interface of the SiNx/diamond which increases the interface transmission contact area and the heat dissipation capacity. However, this effect is limited by the size of the SiNx geometric array. Zhou et al. [32] reports that not all phonon transmission can benefit from the enlarged effective contact area, because the phonon mean free path dominates the phonon transmission capability. When the characteristic size of the nanoparticle is smaller than the phonon mean free path, the phonon scattering is enhanced due to the size effect, and the phonon transmission efficiency at the interface decreases [33]. The size of the periodic structure of the SiNx interlayer is larger than the average phonon mean free path of SiNx, which will enhance the heat transfer ability theoretically. Therefore, the periodic interface structure improves the interface heat transfer effect, which is shown as a decrease in the interface thermal resistance on a macroscopic level.
The advantage of the periodic structure interlayer of SiNx is not only reflected in the phonon transmission ability, but also has a pretty good performance in terms of film-base bonding strength. At the same time, the excellent adhesion further reduces the TBReff,Dia/GaN between diamond and the GaN. To evaluate the adhesion of the diamond film on GaN, a micro scratch test is performed where the diamond film is grown on GaN/SiNx surface by MPCVD. This test has been used by many groups to study film-based adhesion of hard coatings. This adhesion is reflected by changes in the acoustic signal of the brittle diamond film on the GaN substrate, as shown in Figure 4. The acoustic signal results show that the critical load (Lc3) of the GaN-SiNx(peri)-diamond sample is 15 N. The difference is that the critical load (Lc3) of the GaN-SiNx(orig)-diamond sample is only about 8 N. The results show that the adhesion of the diamond film with the SiNx(orig) interlayer has a lower adhesion compared to the sample with the SiNx(peri) interlayer. The periodic structure makes the diamond layer and the SiNx layer form a mosaic structure at the interface. The embedded diamond particles hinder the expansion and extension of the transverse cracks of the film, and at the same time, the micromechanical occlusion effect is formed between the layers of the interface, which improves the interface bonding force. The inter-embedded interfacial microstructure can be verified in the TEM micrographs of GaN-on-diamond interfaces.
Surprisingly, after a short growth treatment of diamond. The cross-sectional micrographs of the GaN/SiNx/diamond interfacial region and the surface morphology of the thickness of the diamond film are shown in Figure 5. There are obvious differences in the surface morphology of the two structures interlayer. The diamond particle density on the SiNx(orig) surface is significantly lower than that on the SiNx(peri) surface. The diamond on the SiNx surface has formed a relatively dense film. Film-forming ability in the early stage of diamond growth will significantly affect the TBReff,Dia/GaN, and a denser structure will significantly reduce the TBReff,Dia/GaN. The difference in particles density may be caused by the mosaic effect of nano-diamonds in the periodic structure during seeding, which improves the adhesion capacity of SiNx surface to nano-diamond particle, increases the seeding density of SiNx surface, and reduces interfacial voids. In addition, the cross-sectional micrographs of the GaN/SiNx(orig)/diamond samples, the thickness of the diamond film is about 1 μm. However, the thickness of the diamond film on the surface of the SiN(peri) interlayer is 400 nm, which is much smaller than the thickness of the other sample. This may all be caused by the pattern interlayer. As we know, this diamond film has a low thermal conductivity. Therefore, a thinner low quality diamond layer can reduce the thermal boundary resistance of the GaN-on-diamond. For the above reasons, the TBR is lower when the SiNx film is preprocessed into a periodic structure.

4. Conclusions

In summary, this work reports on the SiNx(orig) (80 nm, 100 nm) and SiNx(peri) (100 nm) that are used as the interlayers to grow diamond on the GaN surface. The TBReff,Dia/GaN of both structures are investigated by using the time-domain thermoreflectance technique. It was found that the periodic structure of SiNx shows a lower TBReff,Dia/GaN than that original structure of SiNx. This sample’s TBReff,Dia/GaN is measured to be 32.2 ± 1.8 m2KGW−1. This can be explained as the periodic structure of 20 nm × 20 nm increases the interface contact area and phonon transmission efficiency. In addition, the periodic structure improves the interface bonding strength and seeding density, further reducing the adverse effects of interface heat transfer. However, in this paper, the SiNx thickness is 100 nm, which is clearly too thick for a GaN-on-diamond structure. The 100 nm thick SiNx interlayer is not conducive to further reducing the thermal boundary resistance. Furthermore, the SiNx periodic structure is not optimized in this paper. Therefore, thinning the thickness and optimizing the periodic structure of SiNx will be our next research direction. It is undeniable that a suitable periodic structure of interface is beneficial to reduce the TBReff,Dia/GaN between the diamond film and the gallium nitride substrate. It can be speculated that selective patterning of the structure of the interlayer will be a promising option for obtaining the lowest possible TBReff,Dia/GaN. This demonstrates the great potential of using diamond as a thermal diffusion substrate for GaN devices.

Author Contributions

Conceptualization, X.J. and J.W.; methodology, X.J.; software, X.J.; validation, X.J., M.S. and X.Z.; formal analysis, X.J.; investigation, X.J.; resources, X.J. and C.L.; data curation, X.J.; writing—original draft preparation, X.J.; writing—review and editing, X.J. and X.Z.; visualization, X.J.; supervision, L.H., J.W. and C.L.; project administration, L.H.; funding acquisition, L.H. All authors have read and agreed to the published version of the manuscript.

Funding

This work is supported by Fundamental Research Funds for the NIM (AKYZD2203). And The APC was funded by Ministry of Finance of China.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Conflicts of Interest

The authors have no conflict of interest to disclose.

References

  1. Asif Khan, M.; Bhattarai, A.; Kuznia, J.N.; Olson, D.T. High electron mobility transistor based on a GaN/AlxGa1−xN heterojunction. Appl. Phys. Lett. 1993, 63, 1214–1215. [Google Scholar] [CrossRef]
  2. Wang, X.L.; Chen, T.S.; Xiao, H.L.; Tang, J.; Ran, J.X.; Zhang, M.L.; Feng, C.; Hou, Q.F.; Wei, M.; Jiang, L.J.; et al. An internally-matched GaN HEMTs device with 45.2 W at 8 GHz for X-band application. Solid State Electron. 2009, 53, 332–335. [Google Scholar] [CrossRef]
  3. Jia, X.; Wei, J.; Huang, Y.; Shao, S.; An, K.; Kong, Y.; Liu, J.; Chen, L.; Li, C. Fabrication of low stress GaN-on-diamond structure via dual-sided diamond film deposition. J. Mater. Sci. 2021, 56, 6903–6911. [Google Scholar] [CrossRef]
  4. Nazari, M.; Hancock, B.L.; Piner, E.L.; Holtz, M.W. Self-heating profile in an AlGaN/GaN heterojunction field-effect transistor studied by ultraviolet and visible micro-Raman spectroscopy. IEEE Trans. Electron. Devices 2015, 62, 1467–1472. [Google Scholar] [CrossRef]
  5. Ahmad, I.; Kasisomayajula, V.; Song, D.Y.; Tian, L.; Berg, J.M.; Holtz, M. Self-heating in a GaN based heterostructure field effect transistor: Ultraviolet and visible Raman measurements and simulations. J. Appl. Phys. 2006, 100, 1888. [Google Scholar] [CrossRef] [Green Version]
  6. Yan, Z.; Julian, A.; James, P.; Sun, H.; Xing, G.; Andy, X.; Edward, B.; Michael, B.; Grotjohn, T.A.; Cathy, L.; et al. Barrier-layer optimization for enhanced GaN-on-diamond device cooling. ACS Appl. Mater. Interfaces 2017, 9, 34416–34422. [Google Scholar]
  7. Sun, F.; Wang, X.; Ming, Y.; Chen, Z.; Zhang, H.; Tang, D. Simultaneous measurement of thermal conductivity and specific heat in a single TDTR experiment. Int. J. Thermophys. 2018, 39, 5. [Google Scholar] [CrossRef]
  8. Luke, Y.; Jonathan, A.; Xing, G.; Lee, C.; Bai, T. Low Thermal boundary resistance interfaces for GaN-on-diamond devices. ACS Appl. Mater. Interfaces 2018, 10, 24302–24309. [Google Scholar]
  9. Jia, X.; Wei, J.; Kong, Y.; Li, C.-M.; Liu, J.; Chen, L.; Sun, F.; Wang, X. The influence of dielectric layer on the thermal boundary resistance of GaN-on-diamond substrate. Surf. Interface Anal. 2019, 51, 783–790. [Google Scholar] [CrossRef]
  10. Pop, E.; Sinha, S.; Goodson, K.E. Heat generation and transport in nanometer-scale transistors. Proc. IEEE 2006, 94, 1587–1601. [Google Scholar] [CrossRef]
  11. Cahill, D.G.; Braun, P.V.; Chen, G.; Clarke, D.R.; Fan, S.; Goodson, K.E.; Keblinski, P.; King, W.P.; Mahan, G.D.; Majumdar, A.; et al. Nanoscale thermal transport. II. 2003–2012. Appl. Phys. Rev. 2014, 1, 011305. [Google Scholar] [CrossRef] [Green Version]
  12. Cho, J.; Goodson, K.E. Cool electronics. Nat. Mater. 2015, 14, 136–137. [Google Scholar] [CrossRef]
  13. Cho, J.; Li, Z.; Asheghi, M.; Goodson, K.E. Near-junction thermal management: Thermal conduction in gallium nitride composite substrates. Annu. Rev. Heat Transf. 2015, 18, 7–45. [Google Scholar] [CrossRef] [Green Version]
  14. Meneghesso, G.; Verzellesi, G.; Danesin, F.; Rampazzo, F.; Zanon, F.; Tazzoli, A.; Mene, M.; Zanoni, E. Reliability of GaN high-electron-mobility transistors: State of the art and perspectives. IEEE Trans. Device Mater. Reliab. 2008, 8, 332–343. [Google Scholar] [CrossRef]
  15. Alamo, J.; Joh, J. GaN HEMT reliability. Microelectron. Reliab. 2009, 49, 1200–1206. [Google Scholar] [CrossRef]
  16. Francis, D.; Faili, F.; Babic, D.; Ejeckam, F.; Nurmikko, A.; Maris, H. Formation and characterization of 4-inch GaN-on-diamond substrates. Diam. Relat. Mater. 2010, 19, 229–233. [Google Scholar] [CrossRef]
  17. Dumka, D.C.; Chou, T.M.; Faili, F.; Francis, D.; Ejeckam, F. AlGaN/GaN HEMTs on diamond substrate with over 7 W/mm output power density at 10 GHz. Electron. Lett. 2013, 49, 1298–1299. [Google Scholar] [CrossRef]
  18. Gracio, J.J.; Fan, Q.H.; Madaleno, J.C. Diamond growth by chemical vapour deposition. J. Phys. Appl. Phys. 2010, 43, 374017. [Google Scholar] [CrossRef]
  19. García, S.; Íñiguez-de-la-Torre, I.; Mateos, J.; González, T.; Pérez, S. Impact of substrate and thermal boundary resistance on the performance of AlGaN/GaN HEMTs analyzed by means of electro-thermal Monte Carlo simulations. Semicond. Sci. Technol. 2016, 31, 065005. [Google Scholar] [CrossRef]
  20. Zou, B.; Sun, H.; Guo, H.; Dai, B.; Zhu, J. Thermal characteristics of GaN-on-diamond HEMTs: Impact of anisotropic and inhomogeneous thermal conductivity of polycrystalline diamond. Diam. Relat. Mater. 2019, 95, 28–35. [Google Scholar] [CrossRef]
  21. Sun, H.; Simon, R.B.; Pomeroy, J.W.; Francis, D.; Faili, F.; Twitchen, D.J.; Kuball, M. Reducing GaN-on-diamond interfacial thermal resistance for high power transistor applications. Appl. Phys. Lett. 2015, 106, 111906. [Google Scholar] [CrossRef] [Green Version]
  22. Liu, D.; Francis, D.; Faili, F.; Middleton, C.; Anaya, J.; Pomeroya, J.W.; Twitchen, D.J.; Kuball, M. Impact of diamond seeding on the microstructural properties and thermal stability of GaN-on-diamond wafers for high-power electronic devices. Scr. Mater. 2017, 128, 57–60. [Google Scholar] [CrossRef] [Green Version]
  23. Malakoutian, M.; Ren, C.; Woo, K.; Li, H.; Chowdhury, S. Development of polycrystalline diamond compatible with the latest n-polar GaN mm-wave technology. Cryst. Growth Des. 2021, 21, 2624–2632. [Google Scholar] [CrossRef]
  24. Malakoutian, M.; Field, D.E.; Hines, N.J.; Pasayat, S.; Graham, S.; Kuball, M.; Chowdhury, S. Record-low thermal boundary resistance between diamond and GaN-on-SiC for enabling radiofrequency device cooling. ACS Appl. Mater. Interfaces 2021, 13, 60553–60560. [Google Scholar] [CrossRef] [PubMed]
  25. Ziade, E.; Jia, Y.; Brummer, G.; Nothern, D.; Moustakas, T.; Schmidt, A.J. Thickness dependent thermal conductivity of gallium nitride. Appl. Phys. Lett. 2017, 110, 031903. [Google Scholar] [CrossRef]
  26. Cho, J.; Francis, D.; Altman, D.H.; Asheghi, M.; Goodson, K.E. Phonon conduction in GaN-diamond composite substrates. J. Appl. Phys. 2017, 121, 055105. [Google Scholar] [CrossRef]
  27. Ziade, E.; Yang, J.; Brummer, G.; Nothern, D.; Moustakas, T.; Schmidt, A.J. Thermal transport through GaN–SiC interfaces from 300 to 600 K. Appl. Phys. Lett. 2015, 107, 091605. [Google Scholar] [CrossRef]
  28. Hartmann, J.; Voigt, P.; Reichling, M. Measuring local thermal conductivity in polycrystalline diamond with a high resolution photothermal microscope. J. Appl. Phys. 1997, 81, 2966–2972. [Google Scholar] [CrossRef]
  29. Cho, J.; Li, Y.; Hoke, W.E.; Altman, D.H.; Asheghi, M.; Goodson, K.E. Phonon scattering in strained transition layers for GaN heteroepitaxy. Phys. Rev. B 2014, 89, 182–187. [Google Scholar] [CrossRef] [Green Version]
  30. Cho, J.; Chu, K.K.; Chao, P.C.; McGray, C.; Asheghi, M.; Goodson, K.E. Thermal Conduction Normal to Thin Silicon Nitride Films on Diamond and GaN. In Proceedings of the Fourteenth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Orlando, FL, USA, 27–30 May 2014. [Google Scholar]
  31. Bai, S.; Tang, Z.; Huang, Z.; Yu, J. Thermal characterization of Si3N4 thin films using transient thermoreflectance technique. IEEE Trans. Ind. Electron. 2009, 56, 3238–3243. [Google Scholar]
  32. Zhou, X.W.; Jones, R.E.; Kimmer, C.J.; Duda, J.C.; Hopkins, P.E. Relationship of thermal boundary conductance to structure from an analytical model plus molecular dynamics simulations. Phys. Rev. B 2013, 87, 1504–1509. [Google Scholar] [CrossRef] [Green Version]
  33. Cahill, D.G.; Ford, W.K.; Goodson, K.E.; Mahan, G.D.; Majumdar, A.; Maris, H.J.; Merlin, R.; Phillpot, S.R. Nanoscale thermal transport. J. Appl. Phys. 2003, 93, 793–818. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Schematic of the GaN/SiNx, (a) Original structure, (b) Periodic structure.
Figure 1. Schematic of the GaN/SiNx, (a) Original structure, (b) Periodic structure.
Coatings 12 00672 g001
Figure 2. (a) Normalized heat reflection signal as a function of time, (b)sensitivity analysis of various parameters of TDTR for GaN-SiNx-diamond.
Figure 2. (a) Normalized heat reflection signal as a function of time, (b)sensitivity analysis of various parameters of TDTR for GaN-SiNx-diamond.
Coatings 12 00672 g002
Figure 3. TEM micrographs of GaN-on-diamond interfaces grown with different structure interlayers of (a) SiNx(orig) and (b) SiNx(peri).
Figure 3. TEM micrographs of GaN-on-diamond interfaces grown with different structure interlayers of (a) SiNx(orig) and (b) SiNx(peri).
Coatings 12 00672 g003
Figure 4. Sound signal and corresponding scratch morphology of diamond film on the GaN substrate with different structure interlayer of (a) SiNx(orig) and (b) SiNx(peri).
Figure 4. Sound signal and corresponding scratch morphology of diamond film on the GaN substrate with different structure interlayer of (a) SiNx(orig) and (b) SiNx(peri).
Coatings 12 00672 g004
Figure 5. Surface morphology and cross-sectional morphology of the nucleated diamond film on the interlayer of (a,c) SiNx(orig) and (b,d) SiNx(peri).
Figure 5. Surface morphology and cross-sectional morphology of the nucleated diamond film on the interlayer of (a,c) SiNx(orig) and (b,d) SiNx(peri).
Coatings 12 00672 g005
Table 1. SiNx etching process parameters.
Table 1. SiNx etching process parameters.
MaterialICP/WRF/WChamber Pressure/PaO2/SccmAr/SccmSF6/Sccm
SiNx10010151010
Table 2. Fixed parameters for different materials in the sample.
Table 2. Fixed parameters for different materials in the sample.
LayerAlDiamondGaNSi
Thickness (nm)10020002000500,000
Thermal conductivity (W/m·K)237 *fitted130 *148 *
Specific heat (J/kgK)896 *500 *430 *700 *
Density (kg/m3)2700350061502330
* The data in the table are obtained from Refs. [25,26,27,28,29].
Table 3. Fit model results of TBReff,Dia/GaN with different SiNx structure.
Table 3. Fit model results of TBReff,Dia/GaN with different SiNx structure.
StructureNucleation (12% CH4)Grow Time
(5% CH4)
TBReff,Dia/GaN
(m2KGW−1)
SiNx(orig)5 min/750 °C120 min/800 °C40.5 ± 2.5
SiNx(Peri)32.2 ± 1.8
SiNx(80 nm)38.8 ± 1.5
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Jia, X.; Huang, L.; Sun, M.; Zhao, X.; Wei, J.; Li, C. The Effect of Interlayer Microstructure on the Thermal Boundary Resistance of GaN-on-Diamond Substrate. Coatings 2022, 12, 672. https://doi.org/10.3390/coatings12050672

AMA Style

Jia X, Huang L, Sun M, Zhao X, Wei J, Li C. The Effect of Interlayer Microstructure on the Thermal Boundary Resistance of GaN-on-Diamond Substrate. Coatings. 2022; 12(5):672. https://doi.org/10.3390/coatings12050672

Chicago/Turabian Style

Jia, Xin, Lu Huang, Miao Sun, Xia Zhao, Junjun Wei, and Chengming Li. 2022. "The Effect of Interlayer Microstructure on the Thermal Boundary Resistance of GaN-on-Diamond Substrate" Coatings 12, no. 5: 672. https://doi.org/10.3390/coatings12050672

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop