Next Article in Journal
Clot Imaging Using Photostable Nanodiamond
Previous Article in Journal
Molecular Beam Epitaxy Growth of Quantum Wires and Quantum Dots
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Design and Analysis of Gallium Arsenide-Based Nanowire Using Coupled Non-Equilibrium Green Function for RF Hybrid Applications

by
Pattunnarajam Paramasivam
1,
Naveenbalaji Gowthaman
2,* and
Viranjay M. Srivastava
2
1
Electronics and Communication Engineering, Prince Shri Venkateshwara Padmavathy Engineering College, Chennai 600127, India
2
Department of Electronic Engineering, Howard College, University of KwaZulu-Natal, Durban 4041, South Africa
*
Author to whom correspondence should be addressed.
Nanomaterials 2023, 13(6), 959; https://doi.org/10.3390/nano13060959
Submission received: 13 February 2023 / Revised: 1 March 2023 / Accepted: 5 March 2023 / Published: 7 March 2023

Abstract

:
This research work uses sp3d5s* tight-binding models to design and analyze the structural properties of group IV and III-V oriented, rectangular Silicon (Si) and Gallium Arsenide (GaAs) Nanowires (NWs). The electrical characteristics of the NWs, which are shielded with Lanthanum Oxide (La2O3) material and the orientation with z [001] using the Non-Equilibrium Green Function (NEGF) method, have been analyzed. The electrical characteristics and the parameters for the multi-gate nanowires have been realized. A nanowire comprises a heavily doped n+ donor source and drains doping and n-donor doping at the channel. The specified nanowire has a gate length and channel length of 15 nm each, a source-drain device length LSD = 35 nm, with La2O3 as 1 nm (gate dielectric oxide) each on the top and bottom of the core material (Si/GaAs). The Gate-All-Around (GAA) Si NW is superior with a high (ION/IOFF ratio) of 1.06 × 109, and a low leakage current, or OFF current (IOFF), of 3.84 × 10−14 A. The measured values of the mid-channel conduction band energy (Ec) and charge carrier density (ρ) at VG = VD = 0.5 V are −0.309 eV and 6.24 × 1023 C/cm3, respectively. The nanowires with hydrostatic strain have been determined by electrostatic integrity and increased mobility, making them a leading solution for upcoming technological nodes. The transverse dimensions of the rectangular nanowires with similar energy levels are realized and comparisons between Si and GaAs NWs have been performed.

1. Introduction

Over the last two decades in the semiconductor industry, the advanced structure of Metal Oxide Semiconductor Field Effect Transistors (MOSFETs), from planar to multi-gate design, has been proposed to achieve great electrostatic control over the channel. Various multi-gate structural designs named Double gate, Tri-gate, Pi, Omega, Top on-one side, and Gate-all-around (GAA) devices with nanotechnology approaches have been used for forthcoming applications. The GAA device architecture has high resistance properties. It exhibits static control on the gate over the conduction of the channel, which plays a major role in avoiding short-channel effects [1,2]. Natori et al. [3] have proposed GAA NW to resist (SCEs) by improving gate length and channel length scaling (LG < 5 nm and Lch < 15 nm). The primary benefit of GAA devices is that they have a higher ION/IOFF ratio [4]. The reduction in OFF current (IOFF) produces a high (ION/IOFF) ratio. Batakala et al. [5] have demonstrated the comparison between Si and GaAs GAA MOSFETs. The gain and current-driving capacity of the GaAs material were efficient and, thus, based on this channel material, was selected following the application field. The reduction in leakage current had been achieved by considering major features, such as smaller threshold voltage (Vth ~0.3 V), channel length (Lch ≥ 10 nm), shorter gate length, high dielectric constant oxide material, and the dopant concentration of the source, channel, and the drain, respectively [6].
Several studies have analyzed NWs with multi-gate arrangements. Wang et al. [7] reduced the computational complexity in SiNW by the scattering effects, and an approximation of the effective mass was carried out using Buttiker probes. Arun et al. [8] have proposed SiNW and exhibit the efficiency of the electrical characteristics by oxide thickness (tox) variation, dopant concentration, and thickness of the silicon (tsi) in the nanometer regime, respectively. Coquand et al. [9] have presented a study of channel performance and electrostatic control for Tri-gate nanowires by reducing gate length and channel width dimensions to the optimum limit. The optimization in the dimensions results in a low Subthreshold Slope (SS) and Drain-Induced Barrier lowering (DIBL). The effects of electron confinements on thin gate cross-sections surrounded by SiO2 surface roughness have been discussed by Ramayya et al. [10]. The electron mobility was monotonously reduced due to the surface roughness scattering effect, which was dominant.
Cresti et al. [11] have addressed the surface roughness for an electron mobility reduction in GAA and DG nanowires. The surface roughness in the device had been chosen by transfer characteristic parameters, electron density, and low-field electron mobility. Jin et al. [12] have discussed the carrier scattering and backscattering mechanisms. The backscattering decreases the flow of current, electron density, and carrier velocity when the channel’s position varies. The carrier velocity works in the same operation in both carrier scattering and backscattering. The carrier velocity in carrier scattering may increase perhaps increase more in higher order than the backscattering mechanisms.
Numerous studies have been done on the transport characteristics, charge density distributions, and doping concentrations of SiNWs, which have been discussed both empirically and theoretically [13,14,15,16]. The carrier densities for various cross-sections (square, circular, elliptical, and rectangular) using Poisson Schrodinger (PS) and Drift Diffusion (DD) simulations had been investigated with variations in the gate length and channel position being discussed [17]. The source/drain connections were kept wider than the channel to decrease access resistance. The framework for single and double-gate nano MOSFETs using the NEGF coupled mode technique for NWs with six variants had been provided by Svizhenko et al. [18]. The coupled mode effects for nonuniformity of the transverse potential profile greatly impacted multi-gate nanowires. The transport calculations and the electrical properties, such as the transmission coefficient for Si and GaAs with different orientations using NEGF mechanisms, had been discussed elaborately by Luisier et al. [19,20,21].
Using Density Functional Theory (DFT)-based techniques, the electronic characteristics of mechanical and crystalline properties of nanomaterials whose accuracies and efficiencies were reported when it is subjected to the temperature [22,23]. Kumarasinghe et al. [24] have investigated the electronic properties of pure and doped Si nanowires with dimensions up to 10 nm using large-scale Density Functional Theory (DFT) modeling. Three steps are used in modeling: (1) relaxation of the NW unit cell using the DFT method and extraction of the Hamiltonian and overlap matrices, (2) mode space transformation of the imported Hamiltonian matrices, and (3) non-orthogonal NEGF transport calculations using the mode space Hamiltonians, in a way that is self-consistent with the Poisson’s equation.
The Tight Binding (TB) methodology had been used by many researchers to address all the nanowire features that ultimately depend on the electronic structures. All varieties of nanowires and nanotubes (semiconducting, metallic, oxide, and others) were carried over in this method. The majority of research focuses on calculating the sub-band electronic structure of technological semiconductors and its relationship to factors such as shape, composition, and orientation, respectively [25].
Morioka et al. [26] have presented the electronic band structures of rectangular Si NWs using sp3d5s* tight-binding models. This method considers one excited s* orbital, p orbitals {px, py, and pz}, and d orbitals {dyz, dzx, dxy, d3z2-r2, and dx2-y2}. The x, y, and z coordinate axes are set at [100], [010], and [001], respectively. The part of each atomic orbital typically depends on the nanowire’s width. The symmetrical character of the d001 orbital has the same width dependence configuration of p001, but the magnitude of orbital d001 was found to be lesser, about nanowire based from p001.
This work concentrates on designing a novel nanowire-based on GaAs material to use in RF hybrid applications. To improve the ION and IOFF ratio, various methodologies have been utilized. The usage of high-ƙ dielectric material, such as La2O3, has shown various enhancements to create an optimal design to be used in RF application setups. This paper has been organized as follows. The basics of NEGF modeling have been discussed in Section 2. The proposed nanowire structure with six variants has been discussed in Section 3. The mathematical modeling of lanthanum oxide with the self-consistent methodology and the division of the 3D problem into 1D transport and 2D Schrodinger equations were investigated in Section 4. Section 5 discusses the lt and analysis of the work. Finally, Section 6 concludes the work and recommends the future aspects.

2. Basics of NEGF Modeling

The electronic properties of hydrogen-passivated compound semiconductor nanowires grown in different crystallographic orientations, specifically the band structures, band gaps, and effective electron masses, were discussed previously [27,28,29,30]. Horiguchi et al. [31] have discussed the Silicon nanowire bandgap dependency on the wire width using effective mass theory calculations and using the boundary conditions envelope between wire confinement potential and the barrier height confinement potential’s finiteness. Several authors have presented nanoscale modeling using green’s function, quantum transport modeling, density matrix calculation, and analyzing electronic devices in equilibrium conditions [32,33,34,35].
Seone et al. [36] have proposed the Gate-All-Around (GAA) Si nanowire MOSFET and the impact of current variability on the channel’s surface roughness was analyzed using 3-D real-space non-equilibrium Green’s function. Mazumder et al. [37] have proposed GAA GaAs TFET, which works under the tunneling phenomenon. The maximum ION/IOFF ratio of TFET is achieved by adjusting the few electric gate insulator and GAA TFET channel architecture, which were been investigated to provide the best band-to-band tunneling and potential amplification. Montazeri et al. [38] have demonstrated the band structure for III–V compound semiconductor nanowires using k.p theory calculations. The calculation of the strain is used to determine the particular nanowire structure, and it had been employed using the elastic theory. The resulting calculated strain was called hydrostatic strain, which depends on the proportions of structural dimensions and is independent of the total size. Ren et al. [39] have modeled nanoscale MOSFETs and estimated the scattering and backscattering coefficients using the scattering theory. The critical length and carrier velocity at the source’s end and the channel’s start were identified using transport models. The ballistic, dual-gate nano transistors used for digital applications with a proper choice of the gate oxide thickness and scaling limit down to 10 nm were discussed [40,41]. Several studies have incorporated the operation of the nanowire in a ballistic regime using analytic models [42,43,44,45] and numerical simulations [46,47]. In the simulation study, the density of states, the electron density, and the conduction band energy (Ec) variations along the position of the channel were investigated [48].

3. Design of Proposed Novel GaAs Nanowire

The generic structure of the proposed nanowire has been designed with a rectangular cross-section with dimensions of 35 nm × 4.5 nm. The source and drain of the Si and GaAs-based nanowire material have a continuous n+ donor impurity concentration of (2 × 1020 cm−3) and n donor doping of (1 × 1020 cm−3) at the channel. The channel direction in this situation is longitudinal to the <001> z-axis, ‘x’ determines the channel width, and ‘y’ determines the current flow into the nanowires, as shown in Figure 1a. The electron movement in the longitudinal z direction is based on Kinetic Energy (Ez) and is called Transmission Probability T (Ez). The proposed nanowire dimensions are listed in Table 1.
The Landauer formula, as in reference [3], yields the following description of the drain current:
I D S = e π h n v , n 0 d E z T ( E z ) × [ f ( E F L , E ) f ( E F R , E ) ]
f ( E F , E ) = [ 1 + exp ( ( E E F ) / k B T ) ] 1
E = E n v , n ( z max ) + E z
where ‘n’ is the quantum number that matches the confinement in the wire cross-section; EFL, and EFR (=EFL − eVDS), where EFL and EFR are the fermi energy levels at the source and drain. Equation (2) is the Fermi-Dirac Distribution. Env, n in Equation (3) fits into the particular valley nv, where nv = 1, 2, 3, represents the energy confinement level at the top barrier on the channel as (Etop =EFL + kBT). In Figure 1b, the potential energy distribution is along the z-axis, where the maximum energy at the uppermost oxide interface from the channel is represented as Emax. The Landauer equation can be simplified as follows:
I D S = e k T B π h n v , n ln ( 1 + exp ( ( E F L E n v , n ) / k B T ) 1 + exp ( ( E F R E n v , n ) / k B T ) )
Equation (4) determines the current IDS where confinement energy levels at the oxide interface top barrier zmax exist. Multiple gates or very thin film structures were necessary to control SCEs in III–V technologies, as suggested previously [3]. There are six variants shown in Figure 2. Each variant differs in the number of gates and their arrangement with natural length, as shown in Table 2.
The natural length λn can be calculated by:
λ n = ε s i n ˜ ε o x ( 1 + ε o x t s i 4 ε s i t o x ) t s i t o x
where ‘ñ’ is referred to as the effective number of gates. The idea was to design devices with both doped and undoped channels that use mid-gap gate material and yields the highest gate efficiencies for sub-10 nm technology [27,28]. The device’s short-channel behavior has been enhanced by raising the equivalent gate number ‘ñ’ and by maintaining the size of the gate length (approximately) five to ten times greater than that of the natural length λn.
Scaling is possible with GAA devices because they are built with the gate in contact with the channel on all sides. The main benefit of GAA devices is that they have a higher ION/IOFF ratio. Owing to the asymmetric characteristics of the electrostatic control, the tri-gate arrangement results in a lower gate-controlled charge and is 25% smaller when compared to the GAA SiNW for the specific W/H ratio because there are more channel sides placed towards the gate contact.
When the gate voltage VG = 0 V, the potential in three-dimensional form has been distributed out over the length of the NW, as shown in Figure 3, which is represented in the order of Double gate, GAA, Omega, Pi, Top, and Tri-gate respectively. Three different effective masses (ml, mt, mt), (mt, ml, mt), and (mt, mt, ml) have been considered for the x, y, and z directions. The (ml) and (mt) are the longitudinal and transverse effective masses whose value is equal to 0.98 m0 and 0.19 m0. The mass (m0) is called free electron mass.
The sub-bands in the z longitudinal direction are more energetic than those in the valley pairs along x and y whose transport mass (mt) is less than longitudinal mass (ml). To simulate Δ valley for the electrons near the Z [001] direction for Si, the effective masses (mx, my, and mz) obtained for valleys 1, 2, and 3 are (0.19, 0.19, and 0.98), (0.38, 0.38, and 1.17), and (0.57, 0.57, and 1.36), respectively. Similarly, the effective masses (mx, my, and mz) for GaAs NW obtained for valleys 1, 2, and 3 are (0.067, 0.067, and 0.542), (0.134, 0.134, and 0.069), and (0.201, 0.201, and 0.676), respectively.

4. Mathematical Modeling of the Nanowire with La2O3

The proposed multi-gate device is a 3-D-dimensional nanowire with a source and drain doping concentration of 2 × 1020 cm3. The source and drain are made of silicon or gallium arsenide that has been highly doped with n+ atoms. The device’s effective mass Hamiltonian has been denoted by the notation:
H 3 D ψ ( x , y , z ) = E ψ ( x , y , z )
H 3 D = h 2 2 m x 2 x 2 h 2 2 y ( 1 m y y ) h 2 2 z ( 1 m z z ) + V ( x , y , z )
where the conduction band edge profile is represented by V (x, y, z) [26], and mx, my, and mz are the effective masses:
V ( x , y , z ) = E C 1 / 2 ( x , y ) ϕ ( x , y , z )
where EC1/2 (x, y) is the band gap of the nanowire core material (Si/GaAs), the point (x, y) links to the dioxide region, and (x, y, and z) corresponds to space potential. Due to the movement of electrons in the z-direction, the effective core mass in the transport direction is m*z, and the effective oxide masses are represented by m*x = m*x (x,y) and m*y = m*y (x,y), respectively.
The wavefunction of the three-dimensional Hamiltonian (x, y, and z) in the longitudinal z direction is given as:
ψ ( x , y , z ) = m φ b ( z ) ψ b ( x , y ; z )
The bth mode eigen function ψb (x, y; z) represented in two-dimensional (2D) Schro dinger equation is given as:
H 2 D ψ ( x , y ; z ) = E b ( x ) ψ b ( x , y ; z )
where
H 2 D = h 2 2 x ( 1 m x ( x , y ) y ) h 2 2 y ( 1 m y ( x , y ) y ) + V ( x , y ; z )
H 2 D = h 2 2 x ( 1 m x ( x ) x ) h 2 2 y ( 1 m y ( y ) y ) + V ( x , y ; z )
Under boundary conditions, the wave functions at the margins of the two-dimensional (2D) cross-section plane is known as uncoupled mode space method, which eliminates the coupling among several modes (or sub-bands), and φb (z) satisfies as follows:
{ h 2 2 m z 2 z 2 + E b ( z ) } φ b ( z ) = E φ b ( z )
The Schrodinger Equation (13) with open boundary conditions describes the 1-D transport problem, and further, the NEGF technique [31] has been used to solve it. The primary notation for the sub-band b using 1-D Green’s function (Gb) is as follows:
G b = [ E H b 1 D S . b D . b ] 1
where
H 1 D = h 2 2 m z 2 z 2 + E b ( z )
ΣS,b and ΣD,b are the S/D self-energies of sub-band b, respectively. The 1D charge density nk1D(z) in the bth sub-band is then obtained via:
n b 1 D ( x ) = 1 2 π Δ x d E f s G Γ S . b G b + f D G k Γ D . b G b
where Δx is the lattice spacing, and ΓS,b and ΓD,b are defined by:
Γ S . b = i ( S . b S , b ) ,
Γ D . b = i ( D . b D , b ) ,
The Fermi Distribution functions and the Fermi Energies at the source and drain are given as follows:
f S D ( E ) = 1 1 + e ( E E F S D ) / k B T
The 3-D quantum charge density has been employed in Poisson’s equation after one-dimensional (1D) charge densities of each sub-band are resolve as follows:
n 3 D ( x , y , z ) = k n b 1 D ( x ) | ψ b ( x , y ; z | 2
2 ϕ ( x , y , z ) = q ( N D ( x , y , z ) n 3 D ( x , y , z ) )
Equation (21) determines the potential and doping profile (ND) of (x, y, and z). The current in Equation (22) is calculated using the Landauer–Buttiker formula, once self-consistency and charge distributions are attained:
I D = 2 q h b d E T b ( E ) ( f s ( E ) f D ( E ) ) ,
where the Transmission Probability Tb (E) for sub-band ‘b’ is given by:
T b ( E ) = T r ( Γ S . b G b Γ D . b G b )

4.1. Numerical Approaches

The two-dimensional (2-D) Schrodinger equations and the 1-D NEGF equation numerical solutions have been presented. The mass discontinuity across the lanthanum oxide (La2O3) contact has been included in the 2-D Schrodinger equation using the following k-space approach.

4.1.1. K-Space Solutions of Two-Dimensional Schrodinger Equations

Let’s first rewrite Equation (25) as follows:
ψ ( x , y ) = ψ b ( x , y ; z )
where Ak’s are expansion coefficients and |K〉 is a basic set. The eigenvalue problem is solved by substituting Equation (24) in Equation (10) and multiplying 〈L\ by the equation sides:
K H L K 2 D A K = E b A L
where HLK2DAK =L\H2D|K〉. In the standard k-space solution [19]:
| K = 2 L x 2 L y sin ( k i x ) sin ( k j y )
Here, Lx and Ly are the cross-side section’s lengths in the x and y directions, respectively.
k i = π i L x ( i = 1 , N x )
k j = π j L y ( j = 1 , N y )
The corresponding grid numbers in the x and y directions are Nx and Ny. It must be noted that the K index is derived with the indices i and j by the formula K = (Nx (i − 1) + j) in Equation (26).
A rectangular cross-section with core/oxide interfaces at (x1 and x2) and (y1 and y2), respectively (see Figure 4). Equation (29) defines the effective asymmetrical masses at the core/oxide interfaces for the Hamiltonian using HLK2D and it is given as follows:
H L K 2 D = H L K 0 + H L K ( x ) + H L K ( y )
where
H L K 0 = 4 L x L y 0 L x 0 L y d x d y sin ( k u x ) sin ( k v y ) ( h 2 k i 2 2 m x ( x , y ) + h 2 k i 2 2 m y ( x , y ) + V ( x , y ) ) × sin ( k i x ) sin ( k j y )
H L K ( x ) = 2 h 2 k i L x L y ( sin ( k u x 1 ) cos ( k i x 1 ) ( sin ( k u x 2 ) cos ( k i x 2 ) ) ( 1 m c o r e , x 1 m o x ) × y 1 y 2 d y sin ( k j y ) sin ( k v y )
H L K ( y ) = 2 h 2 k j L x L y ( sin ( k u y 1 ) cos ( k j y 1 ) ( sin ( k v y 2 ) cos ( k j y 2 ) ) ( 1 m c o r e , y 1 m o x ) × x 1 x 2 d x sin ( k i x ) sin ( k u x )
where m c o r e , x and m c o r e , y are the effective core masses in the x and y directions. The u and v are the indices that are mapped with L in a parallel fashion to the index K, respectively.

4.1.2. Product Space Solutions of 2-D Schrodinger Equations

From Figure 4, the rectangular cross-section with effective mass is represented as follows:
m x * ( x , y ) = { m x * ( x )   if   y 1 y y 2 m o x *   if   y   <   y 1   o r   y   >   y 2
where
m x * ( x ) = { m c o r e , x *   if   x 1 x x 2 m o x *   if   x   <   x 1   o r   x   >   x 2  
For a good approximation, it is written as follows:
m x * ( x , y ) = m x * ( x )   for   0 y L y
The oxide region on either side of the core has been considered as (y1 < y < y2) and the amplitude in the top and bottom of the oxide regions are considered as (y < y1 and y > y2), respectively. The band gap of the oxide materials is substantially wider than that of the core material. Equations (36) and (37) define the effective masses in the y direction:
m y * ( x , y ) = m y * ( y )   for   0 x L x
where
m y * ( y ) = { m c o r e , x *   if   y 1 y y 2 m o x *   if   y   <   y 1   o r   y   >   y 2  
The adjacent side of the oxide effective mass regions is inappropriate for the above reasons. Therefore, it is written as under good approximation:
H 2 D = h 2 2 x ( 1 m x ( x ) x ) h 2 2 y ( 1 m y ( y ) y ) + V ( x , y )
The following equation is the product-space solution:
| K = χ i ( x ) ξ j ( y ) ,
Equation (40) determines the 1D Schrodinger equation in the x direction, where χi is the ith Eigen function:
{ h 2 2 x ( 1 m x ( x ) x ) V ¯ ( x ) } χ i ( x ) = i χ i ( x )
where
V ¯ ( x ) = 1 y 2 y 1 y 1 y 2 d z   V ( x , y )
ζj(y) is the jth eigen function and V(x,y) is the confinement potential for the following 1-D Schrodinger equation in the y-direction:
{ h 2 2 y ( 1 m y ( y ) y ) V ¯ ( y ) } ξ i ( y ) = i ζ j ( y )
where
V ¯ ( x ) = 1 y 2 y 1 y 1 y 2 d z   V ( x , y )
Substituting Equation (39) in Equation (38) and obtaining Equations (40)–(43).
H 2 D | K = ξ j ( y ) h 2 2 x ( 1 m x ( x ) χ i ( x ) x ) χ i ( x ) h 2 2 y ( 1 m y ( y ) ξ j ( y ) y ) + V ( x , y ) χ i ( x ) ξ j ( y ) = ( i + j + V ( x , y ) V ¯ ( x ) V ¯ ( y ) ) | K
By multiplying 〈L\ in Equation (44), we obtain:
H L K 2 D = L δ L K + L \ ( V ( x , y ) V ¯ ( x ) V ¯ ( y ) ) | K
where
L = i + j
After resolving the Schrodinger equations, the eigenvalue problem and the product-space solution have been found. Equations (40) and (42) illustrate one dimensional (1-D) version of the k-space solution approach, which was introduced in the previous section and has been employed in the modeling.

5. Analysis of the GaAs-Based Nanowire

There are six possible structures that have been considered in the simulated NWs. Two distinct materials (Si and GaAs), the Double Gate (DG), Gate-All-Around (GAA), Omega, Pi, Top, and Tri-gate variants have been discussed. Figure 2 depicts the rectangular structure with the physical dimensions of all six variants. The crystallographic orientation z <001> direction has coincided with the channel transport direction. The design parameters of the nanowire, listed in Table 1, have been considered for modeling. The conduction band margins of the NWs for different dielectrics had been addressed previously [49]. Higher gate dielectric constant materials have lower conduction band edges. The SiO2 has a greater conduction band edge than La2O3 when used as a gate dielectric oxide. Thus, lanthanum oxide (La2O3) has been chosen as a better choice for a gate dielectric oxide and it is one of the best reasons to provide conduction at lower energies. The Si and GaAs rectangular nanowire simulations have been designed with the same wire length (Lwire = 35 nm). Figure 5 shows the comparison between the first and last state energy. The first and sixteenth energy levels of conduction band electrons in a rectangular wire differ by 22% at the left contact of the fermi level EFL = −5 eV.
Based on the full-band model (sp3d5s*) model, Figure 6 illustrates transmission coefficients for the 2.5 nm wire in the conduction band. The conduction band reaches high transmission when the thickness of the wire get decreases, as shown previously [50,51].
The ballistic current has been calculated by a comparison of the transmission and energy. The transmission steps depend on the channel and have high transmission regions at an energy E = 2.6 eV. The energy differences are nearly parallel; the higher transmission obtained for both Si and GaAs nanowires are 2.8892 eV and 3.5768 at 2.6 eV. Hence, the GaAs is 1.23 times greater than Si NW. Maximum transmission can be achieved with an increase in wire dimension. Higher transmission had been achieved using different orientations with an increase in gate bias, as shown previously [20]. The transmission spectrum has been fixed with zero gate bias (VG) and a drain voltage (VD) of 0.6 V. When the gate voltage increases, higher transmission is achieved due to the lowering of the barrier. To normalize the current density in ballistic conditions, the effective width (Weff) is assumed to be four times the channel width (Wch), as shown previously [11,52].
Figure 7 shows the normalized current density spectra (iz/iz, avg) calculated by (T × (fL − fR)), where T is the transmission and (fL − fR) are the left and right fermi level contacts. The normalized current density distribution is uniform in the GaAs NW, and this uniformity occurs when the Wagner number (Wa > 5), as shown previously [53].
The one-dimensional electron density (N1D) along the channel has been plotted against Si and GaAs NW. The comparison has been noticed specifically at the midchannel ‘z’. The NEGF calculations are made to compute electron density and the electrostatic potential at the interface. At zero gate bias, there is no creation of a potential barrier and electrons to penetrate the channel. The electron density (N1D ~ 1 × 1020) cm−3 has been obtained in the OFF state when VG = 0 V at the source and decreases more at the midchannel. The electron density increases at the midchannel due to three reasons: (a) Surface Roughness, (b) Higher Gate bias voltage (VG > 0.3 V), or (c) when channel doping is greater than source-drain doping. Here, the middle of the channel has a low electron concentration, which maintains a higher concentration at the drain. The electron densities are uniform throughout the height of the channel, and the GaAs have attained a higher electron concentration at the midchannel than Si NW, as shown in Figure 8.
The conduction band energy depends upon the function of both y and z, which is a function of width and length, whereas the sub-band energy minima depends on length [33]. Using the relationship with the carrier velocity, it is concluded that the frequency of electron transmission and channel length are inversely proportional with each other. Thus, the saturation current (ION) increases when the channel length gets reduced. The carriers can travel more easily through shorter gate lengths and channel lengths in comparison to a longer channel, as shown previously [45]. The conduction band edge profiles for the GAA variant by fixing VD = 0.5 V and VG have been varied between 0 and 1, as shown in Figure 9. The device gets off at low gate voltages. When gate voltage increases, the potential barrier gets lower, and the energy attained by the electrons will move faster from source to drain and gets lowered with an increase in drain bias.
The conduction band energy decreases at the midchannel when gate voltage VG increases from 0 to 1 V. Each band energy differs with a voltage of 0.1 V. Due to a higher impurity concentration than that of the channel, a sudden peak charge density (ρ) of 6.75 × 1026 Coul.m−3 was produced at the source and drain when VG = 0 V. With the increase in gate voltage VG = 0.5 V, the charge density (ρ) over the length of the channel decreases from 6.48 × 1026 Coul.m−3 to 6.24 × 1026 Coul.m−3 from the source to the midchannel and then increases when it reaches near the drain terminal, as shown in Figure 10. The charge density increases at the midchannel when gate voltage increases from 0 to 1 V with voltage difference of 0.1 V.
Table 3 shows the comparison modeling results of Si and GaAs Trigate NW. Though the geometrical dimensions are identical for Si and GaAs NW, the accumulation of electrons in GaAs NW is 11% more when it varies with gate voltage when compared to Si NW. Hence, it is evident from the results that the increase in electron density of GaAs NW results in a decrease in current density, which shows that the current density depends upon the property and nature of the material and is independent of electron density. The inversion charge shifts away from the interface in the charge on the quantum modulation effect on Si and GaAs NW, which has been demonstrated to have no impact on the Subthreshold Slope (SS), as shown previously [54]. The log-scale (ID-VGS) transfer curve with VGS at the subthreshold region has been used to calculate the Subthreshold Slope (SS), which is defined as −[d(log10ID)/dVG].
The transfer characteristic curve for Si NW is shown in Figure 11. The GAA device has the highest ON current of 4.09 × 10−5 A. Our simulation results of 15 nm Si NW are compared with GaAs NW. Figure 12 shows the transfer characteristics curve for Si and GaAs Tri-gate NW. Here, the ION current of the GaAs Tri-gate nanowire is 10−7 A (VS = 0 V and VD = 0.6 V), and with the silicon nanowire is 10−13 A (VS = 0 V and VD = 0.6 V). This analysis shows that the gallium arsenide nanowire, due to its larger ION current, has more advantages over other types of devices. The results of ION, IOFF, and ION/IOFF are identical values in the simulation results of the Double Gate and Omega variants, as shown clearly in Table 4 comparison results.
It was observed previously [55] that when the gate length increases to 35 nm, the ION/IOFF ratio remains high in GAA NWs compared to all other gates. The Omega and the Double gate NWs modeling results remain the same and high when compared to the Ω -gate MoS2FET [56,57], which are shown in Table 4. The GAA has a smaller leakage current than any other gate and a higher conduction band energy of 8% and 37% (at 15 nm) than the Tri-gate and Pi gate. Thus, the GAA Si NW also shows a good Subthreshold Slope (SS) of 176 mV/dec, which is 39% greater than the Tri-gate NW. Therefore, the GAA device has been chosen as a better electrostatic control device.
Our proposed method has been compared with a previous study [11], where channel length (Lch = 15 nm) and oxide thickness (tox = 1 nm) are the same. Hence, it has been observed that the increase in the gate length (LG ≥ 15 nm) and silicon dioxide material (SiO2) material leads to an increase in leakage current. Thus, in our proposed method, the OFF current (IOFF) has been reduced by various parameters, such as (1) oxide material with high dielectric constant, (2) gate length scaling, and (3) low threshold voltage.
Table 5 summarizes the Si NW GAA variant with existing SiNW for an S/D doping concentration of 2 × 1020 cm−3. According to modeling results, the GAA NW has achieved a high ION/IOFF ratio (1.06 × 109) when the width-to-height (W/H) ratio dimensions are equal to 1. For fixed gate and drain voltages, the small (W/H) ratio changes in the geometrical dimensions result in a low ION/IOFF ratio, a high electron density, Subthreshold Slope (SS), and Drain Induced Barrier Lowering (DIBL). The smooth and rough surface in the channel also differs between ON and OFF currents. However, the ION/IOFF ratios are the same in both cases, the smooth surface produces a high ON current (1 × 10−6 A) as in Ref. [32].

6. Conclusions and Future Recommendations

The electrical characteristics of the NWs shielded with Lanthanum Oxide (La2O3) material and the orientation with [001] z using the Non-Equilibrium Green Function (NEGF) method were analyzed. Using the NEGF technique, the performance of Silicon and Gallium Arsenide Nanowires with multi-gate structural arrangements, the electrical characteristics, and their parameters are computed. The comparison between all the nanowire variants was simulated. The semi-empirical tight-binding technique (sp3d5s*) was used to determine the transmission coefficient of Silicon and Gallium Arsenide nanowires for [001] orientations. The transverse dimensions of rectangular nanowires with similar energy levels have been examined, and the comparisons between Silicon and Gallium Arsenide NWs were investigated. The III–V compound semiconductor, such as GaAs NW, shows an attractive simulation in a few parameter results, such as transmission and electron density, compared to Silicon NW. Considering the issue of leakage current reduction, Silicon NWs are more suitable than Gallium Arsenide NWs.
In future work, the comparison between the same wire (Si or GaAs) with different orientations and the same orientations for different materials (Si and GaAs) should be investigated. The problems solved by Gallium Arsenide have focused on III–V compounds along with Silicon or IV–IV compounds, which could be used for applications such as energy storage, flexible electronics, and biomedical devices. Additionally, the development of new synthesis techniques may lead to the production of nanowires with novel compositions and improved properties.

Author Contributions

Conceptualization, P.P. and N.G.; methodology, P.P.; software, N.G.; validation, P.P., N.G. and V.M.S.; formal analysis, P.P.; investigation, P.P.; resources, N.G.; data curation, P.P.; writing—original draft preparation, P.P.; writing—review and editing, N.G. and V.M.S.; visualization, P.P. and N.G. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Colinge, J.P. FinFETs, and Other Multi-Gate Transistors; Springer US: New York, NY, USA, 2008. [Google Scholar]
  2. Goldberger, J.; Hochbaum, A.I.; Fan, R.; Yang, P. Silicon Vertically Integrated Nanowire Field Effect Transistors. Nano Lett. 2006, 6, 973–977. [Google Scholar]
  3. Natori, K. Ballistic/quasi-ballistic transport in nanoscale transistor. Appl. Surf. Sci. 2008, 254, 6194–6198. [Google Scholar] [CrossRef] [Green Version]
  4. Numata, T.; Uno, S.; Hattori, J.; Milnikov, G.; Kamakura, Y.; Mori, N.; Nakazato, K. A Self-Consistent Compact Model of Ballistic Nanowire MOSFET with Rectangular Cross Section. IEEE Trans. Electron Devices 2013, 60, 856–862. [Google Scholar] [CrossRef]
  5. Batakala, J.; Dhar, R.S. Effect of channel material on the performance parameters of GAA MOSFET. J. Nano Electron. Phys. 2022, 14, 02003. [Google Scholar] [CrossRef]
  6. Hosseini, R.; Fathipour, M.; Faez, R. A comparative study of NEGF and DDMS models in the GAA silicon nanowire transistor. Int. J. Electron. 2012, 99, 1299–1307. [Google Scholar] [CrossRef]
  7. Wang, J.; Polizzi, E.; Lundstrom, M. A Three-dimensional Quantum Simulation of Silicon Nanowire Transistors with the Effective-Mass Approximation. J. Appl. Phys. 2004, 96, 2192–2203. [Google Scholar] [CrossRef] [Green Version]
  8. Samuel, A.; Arumugam, N.; Shenbagavalli, A. Drain current characteristics of silicon nanowire field effect transistor. J. Microelectron. 2016, 2, 284–287. [Google Scholar] [CrossRef]
  9. Coquand, R.; Barraud, S.; Cass, M.; Leroux, P.; Vizioz, C.; Comboroure, C.; Perreau, P.; Ernst, E.; Samson, M.-P.; Maffini-Alvaro, V.; et al. Scaling of high-/metal-gate TriGate SOI nanowire transistors down to 10 nm width. Solid-State Electron. 2013, 88, 32–36. [Google Scholar] [CrossRef]
  10. Ramayya, E.B.; Vasileska, D.; Goodnick, S.M.; Knezevic, I. Electron transport in silicon nanowires: The role of acoustic phonon confinement and surface roughness scattering. J. Appl. Phys. 2008, 104, 063711. [Google Scholar] [CrossRef] [Green Version]
  11. Cresti, A.; Pala, M.G.; Poli, S.; Mouis, M.; Ghibaudo, G. A Comparative Study of Surface-Roughness-Induced Variability in Silicon Nanowire and Double-Gate FETs. IEEE Trans. Electron Devices 2011, 58, 2274–2281. [Google Scholar] [CrossRef]
  12. Jin, S.; Tang, T.; Fischetti, M.V. Anatomy of Carrier Backscattering in Silicon Nanowire Transistors. In Proceedings of the 2009 13th International Workshop on Computational Electronics, Beijing, China, 27–29 May 2009. [Google Scholar] [CrossRef]
  13. Dargar, S.K.; Srivastava, V.M. Performance analysis of High-k dielectric-based silicon nanowire gate-all-around tunneling FET. Int. J. Electr. Electron. Eng. Telecommun. 2019, 8, 340–345. [Google Scholar] [CrossRef]
  14. Srivastava, V.M.; Singh, G. MOSFET Technologies for Double-Pole Four-Throw Radio-Frequency Switch; Analog Circuits and Signal Processing; Springer International Publishing: Cham, Switzerland, 2014. [Google Scholar] [CrossRef]
  15. Martinez, A.; Seoane, N.; Brown, A.R.; Barker, J.R.; Asenov, A. 3-D Non-equilibrium Green’s Function Simulation of Nonperturbative Scattering from Discrete Dopants in the Source and Drain of a Silicon Nanowire Transistor. IEEE Trans. Nanotechnol. 2009, 8, 603–610. [Google Scholar] [CrossRef] [Green Version]
  16. Dubrovskii, V.G.; Hijazi, H.; Isik Goktas, N.; LaPierre, R.R. Be, Te and Si Doping of GaAs Nanowires: Theory and Experiment. J. Phys. Chem. C 2020, 124, 17299–17307. [Google Scholar] [CrossRef]
  17. Asenov, A.; Wang, Y.; Cheng, B.; Wang, X.; Asenov, P.; Al-Ameri, T.; Georgiev, V.P. Nanowire transistor solutions for 5 nm and beyond. In Proceedings of the 2016 17th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 15–16 March 2016. [Google Scholar] [CrossRef] [Green Version]
  18. Svizhenko, A.; Anantram, M.P.; Govindan, T.R.; Biegel, B.; Venugopal, R. Two-dimensional quantum mechanical modeling of nanotransistors. J. Appl. Phys. 2002, 91, 2343–2354. [Google Scholar] [CrossRef] [Green Version]
  19. Luisier, M.; Schenk, A.; Fichtner, W. Quantum transport in two- and three-dimensional nanoscale transistors: Coupled mode effects in the non-equilibrium Green’s function formalism. J. Appl. Phys. 2006, 100, 043713. [Google Scholar] [CrossRef] [Green Version]
  20. Luisier, M.; Schenk, A.; Fichtner, W.; Klimeck, G. Atomistic simulation of nanowires in the sp3d5s* tight-binding formalism: From boundary conditions to strain calculations. Phys. Rev. B 2006, 74, 205323. [Google Scholar] [CrossRef] [Green Version]
  21. Luisier, M.; Schenk, A.; Fichtner, W.; Klimeck, G. Transport calculation of Semiconductor Nanowires Coupled to Quantum Well Reservoirs. J. Comput. Electron. 2006, 6, 199–202. [Google Scholar] [CrossRef] [Green Version]
  22. Gueorguiev, G.K.; Stafström, S.; Hultman, L. Nano-wire formation by self-assembly of silicon–metal cage-like molecules. Chem. Phys. Lett. 2008, 458, 170–174. [Google Scholar] [CrossRef]
  23. Kakanakova-Georgieva, A.; Ivanov, I.G.; Suwannaharn, N.; Hsu, C.-W.; Cora, I.; Pécz, B.; Gueorguiev, G.K. MOCVD of AlN on epitaxial graphene at extreme temperatures. CrystEngComm 2020, 23, 385–390. [Google Scholar] [CrossRef]
  24. Kumarasinghe, C.; Bowler, D.R. DFT study of undoped and As-doped Si nanowires approaching the bulk limit. J. Phys. Condens. Matter 2019, 32, 035304. [Google Scholar] [CrossRef] [Green Version]
  25. García-Cristóbal, A.; Molina-Sánchez, A. Tight-Binding Simulations of Nanowires. In Encyclopedia of Nanotechnology; Springer: Dordrecht, The Netherlands, 2015; pp. 1–12. [Google Scholar] [CrossRef]
  26. Morioka, N.; Yoshioka, H.; Suda, J.; Kimoto, T. Quantum-confinement effect on holes in silicon nanowires: Relationship between wave function and band structure. J. Appl. Phys. 2011, 109, 064318. [Google Scholar] [CrossRef] [Green Version]
  27. Paramasivam, P.; Gowthaman, N.; Srivastava, V.M. Design and analysis of InP/InAs/AlGaAs based Cylindrical Surrounding Double-Gate (CSDG) MOSFETs with La2O3 for 5-nm technology. IEEE Access 2021, 9, 159566–159576. [Google Scholar] [CrossRef]
  28. Gowthaman, N.; Srivastava, V.M. Mathematical modeling of drain current estimation in a CSDG MOSFET, based on La2O3 oxide layer with fabrication—A nanomaterial approach. Nanomaterials 2022, 12, 3374. [Google Scholar] [CrossRef]
  29. Neophytou, N.; Kosina, H. Hole mobility increase in ultra-narrow si channels under strong (110) surface confinement. Appl. Phys. Lett. 2011, 99, 092110. [Google Scholar] [CrossRef] [Green Version]
  30. Alam, K.; Sajjad, R.N. Electronic Properties and Orientation-Dependent Performance of InAs Nanowire Transistors. IEEE Trans. Electron Devices 2010, 57, 2880–2885. [Google Scholar] [CrossRef]
  31. Horiguchi, S. Validity of effective mass theory for energy levels in Si quantum wires. Phys. B Condens. Matter 1996, 227, 336–338. [Google Scholar] [CrossRef]
  32. Datta, S. Nanoscale device modeling: The Greens function method. Superlatt. Microstruct. 2000, 28, 253–278. [Google Scholar] [CrossRef]
  33. Ren, Z.; Venugopal, R.; Goasguen, S.; Datta, S.; Lundstrom, M.S. nanoMOS 2.5: A two-dimensional simulator for quantum transport in double-gate MOSFETs. IEEE Trans. Electron Devices 2003, 50, 1914–1925. [Google Scholar] [CrossRef]
  34. Martinez, A.; Barker, J.R. Quantum Transport in a Silicon Nanowire FET Transistor: Hot Electrons and Local Power Dissipation. Materials 2020, 13, 3326. [Google Scholar] [CrossRef]
  35. Abramo, A.; Cardin, A.; Selmi, L.; Sangiorgi, E. Two-dimensional quantum mechanical simulation of charge distribution in silicon MOSFETs. IEEE Trans. Electron Dev. 2000, 27, 1858–1863. [Google Scholar] [CrossRef]
  36. Seoane, N.; Martinez, A.; Brown, A.R.; Asenov, A. Study of surface roughness in extremely small Si nanowire MOSFETs using fully-3D NEGFs. In Proceedings of the 2009 Spanish Conference on Electron Devices, Santiago de Compostela, Spain, 11–13 February 2009. [Google Scholar] [CrossRef]
  37. Al Mamun Mazumdar, A.; Hosen, K.; Islam, M.S.; Park, J. Numerical investigations of nanowire gate-all-around negative capacitance GaAs/InN tunnel FET. Digit. Object Identifier 2022, 10, 30323–30334. [Google Scholar] [CrossRef]
  38. Montazeri, M.; Fickenscher, M.; Smith, L.M.; Jackson, H.E.; Yarrison-Rice, J.; Kang, J.H.; Gao, Q.; Tan, H.H.; Jagadish, C.; Guo, Y.; et al. Direct Measure of Strain and Electronic Structure in GaAs/GaP Core−Shell Nanowires. Nano Lett. 2010, 10, 880–886. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  39. Ren, Z.; Lundstrom, M. Simulation of nanoscale MOSFETs: A scattering theory interpretation. Superlattices Microstruct. 2000, 27, 177–189. [Google Scholar] [CrossRef]
  40. Naveh, Y.; Likharev, K.K. Shrinking limits of silicon MOSFETs: Numerical study of 10 nm scale devices. Superlattices Microstruct. 2000, 27, 111–123. [Google Scholar] [CrossRef] [Green Version]
  41. Cheng, H.; Yang, Z.; Zhang, C.; Xie, C.; Liu, T.; Wang, J.; Zhang, Z. A New Approach to Modeling Ultrashort Channel Ballistic Nanowire GAA MOSFETs. Nanomaterials 2022, 12, 3401. [Google Scholar] [CrossRef] [PubMed]
  42. Pikus, F.G.; Likharev, K.K. Nanoscale field-effect transistors: An ultimate size analysis. Appl. Phys. Lett. 1997, 71, 3661–3663. [Google Scholar] [CrossRef] [Green Version]
  43. Ren, Z.; Venugopal, R.; Datta, S.; Lundstrom, M.; Jovanovic, D.; Fossum, J. International Electron Devices Meeting, 2000, IEDM Technical Digest. In Proceedings of the International Electron Devices Meeting. IEDM Technical Digest, Washington, DC, USA, 10 December 1997; p. 715. [Google Scholar]
  44. Natori, K. Scaling limit of the MOS transistor—A ballistic MOSFET. IEICE Trans. Electron. 2001, 84, 1029–1036. [Google Scholar]
  45. Rahman, A.; Jing Guo Datta, S.; Lundstrom, M.S. Theory of ballistic nanotransistors. IEEE Trans. Electron Devices 2003, 50, 1853–1864. [Google Scholar] [CrossRef] [Green Version]
  46. Naveh, Y.; Likharev, K.K. Modeling of 10-nm-Scale ballistic MOSFETs. IEEE Electron Device Lett. 2000, 21, 242–244. [Google Scholar] [CrossRef]
  47. Ren, Z.; Venugopal, R.; Datta, S.; Lundstrom, M.S.; Jovanovic, D.; Fossum, J.G. The ballistic nanotransistor: A simulation study. In Proceedings of the International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 10–13 December 2000; pp. 715–718. [Google Scholar]
  48. Ren, Z. Nanoscale MOSFETs: Physics, Simulation, and Design. Ph.D. Thesis, Purdue Univ., West Lafayette, IN, USA, 2001. [Google Scholar]
  49. Mech, B.C.; Kumar, J. Effect of high-k dielectric on the performance of Si, InAs, and CNT FET. Micro Nano Lett. 2017, 12, 624–629. [Google Scholar] [CrossRef]
  50. Ren, Z.; Venugopal, R.; Datta, S.; Lundstrom, M.S. Examination of design and manufacturing issues in a 10 nm double gate MOSFET using non-equilibrium green’s function simulation. In Proceedings of the International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224), Washington, DC, USA, 2–5 December 2001; pp. 5.4.1–5.4.4. [Google Scholar]
  51. Khan, T.; Iztihad, H.M.; Sufian, A.; Alam MN, K.; Mollah, M.N.; Islam, M.R. Gate length scaling of Si nanowire FET: A NEGF study. In Proceedings of the 2015 International Conference on Electrical Engineering and Information Communication Technology (ICEEICT), Savar, Bangladesh, 21–23 May 2015. [Google Scholar] [CrossRef]
  52. Gowthaman, N.; Srivastava, V.M. Mathematical modeling of electron density arrangement in CSDG MOSFET: A nano-material approach. J. Mater. Sci. 2022, 57, 8381–8392. [Google Scholar] [CrossRef]
  53. Moorthy, V.M.; Srivastava, V.M. Device modeling and optimization of nanomaterial-based planar heterojunction solar cell (by varying the device dimensions and material parameters). Nanomaterials 2022, 12, 3031. [Google Scholar] [CrossRef] [PubMed]
  54. Hiblot, G.; Rafhay, Q.; Boeuf, F.; Ghibaudo, G. Compact modeling of subthreshold swing in the double gate and nanowire MOSFETs, for Si and GaAs channel materials. Solid-State Electron. 2015, 111, 188–195. [Google Scholar] [CrossRef]
  55. Al-Ameri, T.; Wang, Y.; Georgiev, V.P.; Adamu-Lema, F.; Wang, X.; Asenov, A. Correlation between gate length, geometry, and electrostatically driven performance in ultra-scaled silicon nanowire transistors. In Proceedings of the 2015 IEEE Nanotechnology Materials and Devices Conference (NMDC), Anchorage, AK, USA, 13–16 September 2015. [Google Scholar] [CrossRef] [Green Version]
  56. Zhao, D.-H.; Tian, Z.-L.; Liu, H.; Gu, Z.-H.; Zhu, H.; Chen, L.; Zhang, D.W. Realizing Omega-Shaped Gate MoS2 Field-Effect Transistor Based on SiO2/MoS2 Core-Shell Heterostructure. ACS Appl. Mater. Interfaces 2020, 12, 14308–14314. [Google Scholar] [CrossRef]
  57. Natori, K. Ballistic metal-oxide-semiconductor field effect transistor. J. Appl. Phys. 1994, 76, 4879–4890. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Basic nanowire (a) The generic structure where gate length LG equals channel length Lch (b) Schematic of the potential energy curve at drain bias VDS in the z-direction.
Figure 1. Basic nanowire (a) The generic structure where gate length LG equals channel length Lch (b) Schematic of the potential energy curve at drain bias VDS in the z-direction.
Nanomaterials 13 00959 g001
Figure 2. Variants of nanowires (a) DG (b) GAA (c) OMEGA (d) PI (e) TOP (f) TRI.
Figure 2. Variants of nanowires (a) DG (b) GAA (c) OMEGA (d) PI (e) TOP (f) TRI.
Nanomaterials 13 00959 g002
Figure 3. 3D potential profile of the silicon nanowire for all variants at VG = 0 V (a) DG (b) GAA (c) OMEGA (d) PI (e) TOP (f) TRI.
Figure 3. 3D potential profile of the silicon nanowire for all variants at VG = 0 V (a) DG (b) GAA (c) OMEGA (d) PI (e) TOP (f) TRI.
Nanomaterials 13 00959 g003
Figure 4. Cross section of a nanowire.
Figure 4. Cross section of a nanowire.
Nanomaterials 13 00959 g004
Figure 5. Conduction band energy using the NEGF approach (when VDS = 0.6 V and Lch = 15 nm).
Figure 5. Conduction band energy using the NEGF approach (when VDS = 0.6 V and Lch = 15 nm).
Nanomaterials 13 00959 g005
Figure 6. Comparisons of transmission coefficients between Si and GaAs for the 2.5 nm Trigate Nanowire.
Figure 6. Comparisons of transmission coefficients between Si and GaAs for the 2.5 nm Trigate Nanowire.
Nanomaterials 13 00959 g006
Figure 7. Comparisons of normalized current density for the Si and GaAs Trigate variants.
Figure 7. Comparisons of normalized current density for the Si and GaAs Trigate variants.
Nanomaterials 13 00959 g007
Figure 8. Electron density (N1D) along the Z-axis.
Figure 8. Electron density (N1D) along the Z-axis.
Nanomaterials 13 00959 g008
Figure 9. Conduction band energy of the GAA NW along the channel when VD = 0.5 V.
Figure 9. Conduction band energy of the GAA NW along the channel when VD = 0.5 V.
Nanomaterials 13 00959 g009
Figure 10. The charge density of the GAA nanowire along the channel when VD = 0.5 V.
Figure 10. The charge density of the GAA nanowire along the channel when VD = 0.5 V.
Nanomaterials 13 00959 g010
Figure 11. Transfer Characteristics of Si NW.
Figure 11. Transfer Characteristics of Si NW.
Nanomaterials 13 00959 g011
Figure 12. Transfer characteristics comparison for Si and GaAs NWs.
Figure 12. Transfer characteristics comparison for Si and GaAs NWs.
Nanomaterials 13 00959 g012
Table 1. Physical dimensions.
Table 1. Physical dimensions.
Device ParametersProposed Nanowire
Tox (upper) nm1
Tox(lower) nm1
Channel Length (Lch)15 nm
Channel Width (Wch)2.5 nm
Channel Height (Hch)2.5 nm
Source Length (LS)10 nm
Drain length (LD)10 nm
S/D n + Donor doping (cm−3)2 × 1020
n channel doping (cm−3) 1 × 1020
Shape Rectangular
Transport100
Confinement and Y direction010
Table 2. Gate variant arrangement.
Table 2. Gate variant arrangement.
Gate VariantNo. of Gates ñNatural Length λn
Double Gate21.149
GAA40.8129
Omega Gate3.40.8817
Pi Gate3.140.9175
Top Gate11.625
Tri-gate30.939
Table 3. Comparison results of Si and GaAs Tri-gate NW.
Table 3. Comparison results of Si and GaAs Tri-gate NW.
ParameterSi NWGaAs NW
IDmax(A)6.08 × 10−88.20 × 10−8
Transmission2.8893.576
Normalized Current Density 11.06 × 10−6
Electron Density, N1D(#/cm3)1.76 × 10201.98 × 1020
ION (A)5.6 × 10−138.21 × 10−7
IOFF (A)3.75 × 10−232.62 × 10−15
ION/IOFF1.49 × 10103.13 × 108
Subthreshold Slope SS (mV/decade) at
VG = 0.1 V to 0.6 V
8 mV to 107 mV8 mV to 99 mV
Table 4. Comparison results of Si NW variants.
Table 4. Comparison results of Si NW variants.
ParameterDGGAAOMEGAPITOPTRI
ION (A)4.04 × 10−54.09 × 10−54.04 × 10−53.46 × 10−51.99 × 10−53.38 × 10−7
IOFF (A)4.35 × 10−143.84 × 10−144.35 × 10−143.62 × 10−135.03 × 10−85.64 × 10−13
ION/IOFF0.92 × 1091.06 × 1090.92 × 1090.95 × 1080.95 × 1030.6 × 106
Conduction band Ec in eV at 15 nm−0.310−0.309−0.310−0.330−0.424−0.334
Charge density ρ (Coul.m−3) at 15 nm6.42 × 10236.24 × 10236.42 × 10231.01 × 10244.6 × 10241.01 × 1024
Normalized current density1.03 × 10291.08 × 10291.03 × 10291.06 × 10291.07 × 10291.08 × 1029
Subthreshold slope (mV)106176106107115108
No. of nodes991010109
No. of iterations212121161615
NEGF simulation time in secs0.2770.1590.2780.2500.1730.543
Schrodinger simulation time in secs0.3230.3330.3650.3690.3480.879
Poisson simulation time in secs0.6660.5820.6900.7580.7114.061
Table 5. Comparison of various Si nanowires with conventional nanowires.
Table 5. Comparison of various Si nanowires with conventional nanowires.
NanowireOxide (nm)Lch (nm)LG (nm)VGS, VDS (V)ION (A)IOFF (A)ION/IOFF
[4]HFO2520(0.6,0.6)4.5 × 10−50.1 × 10−64500
[6]SiO2155(1,0.5)1 × 10−58.8 × 10−160.11 × 1011
[8]SiO21110(1,1)0.87 × 10−33.4 × 10−120.25 × 109
[12]SiO21515(0.3,0.5)1.22 × 10−53 × 10−110.40 × 106
[36]SiO266(0.6, 0.001)1 × 10−61 × 10−131 × 107
[49]La2O31010(1,0.5)5.5 × 1030.84 × 10−86.54 × 1011
[51]SiO256(0.6,0.6)9.8 × 10−73.4 × 10−132.88 × 106
This workLa2O3152(1, 0.6)4.09 × 10−53.84 × 10−141.06 × 109
This workLa2O3152(0.6, 0.6)2.11 × 10−63.84 × 10−140.55 × 108
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Paramasivam, P.; Gowthaman, N.; Srivastava, V.M. Design and Analysis of Gallium Arsenide-Based Nanowire Using Coupled Non-Equilibrium Green Function for RF Hybrid Applications. Nanomaterials 2023, 13, 959. https://doi.org/10.3390/nano13060959

AMA Style

Paramasivam P, Gowthaman N, Srivastava VM. Design and Analysis of Gallium Arsenide-Based Nanowire Using Coupled Non-Equilibrium Green Function for RF Hybrid Applications. Nanomaterials. 2023; 13(6):959. https://doi.org/10.3390/nano13060959

Chicago/Turabian Style

Paramasivam, Pattunnarajam, Naveenbalaji Gowthaman, and Viranjay M. Srivastava. 2023. "Design and Analysis of Gallium Arsenide-Based Nanowire Using Coupled Non-Equilibrium Green Function for RF Hybrid Applications" Nanomaterials 13, no. 6: 959. https://doi.org/10.3390/nano13060959

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop