Next Article in Journal
Direct Polyphenol Attachment on the Surfaces of Magnetite Nanoparticles, Using Vitis vinifera, Vaccinium corymbosum, or Punica granatum
Next Article in Special Issue
Nanostructured PbSe Films Deposited by Spray Pyrolysis Using PbSe Colloidal Solutions
Previous Article in Journal
Recent Advances in DNA Nanomaterials
Previous Article in Special Issue
Activation Energy and Bipolar Switching Properties for the Co-Sputtering of ITOX:SiO2 Thin Films on Resistive Random Access Memory Devices
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Measurement of Thermal Stress by X-ray Nano-Diffraction in (111)-Oriented Nanotwinned Cu Bumps for Cu/SiO2 Hybrid Joints

1
Department of Materials Science and Engineering, National Yang Ming Chiao Tung University, Hsinchu 30010, Taiwan
2
National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan
3
Department of Materials Science and Engineering, City University of Hong Kong, Hong Kong
4
Department of Electrical Engineering, City University of Hong Kong, Hong Kong
5
Electronics and Optoelectronics System Research Laboratories, Industrial Technology Research Institute (ITRI), Hsinchu 30010, Taiwan
*
Authors to whom correspondence should be addressed.
Nanomaterials 2023, 13(17), 2448; https://doi.org/10.3390/nano13172448
Submission received: 12 August 2023 / Revised: 26 August 2023 / Accepted: 27 August 2023 / Published: 29 August 2023
(This article belongs to the Special Issue Nano-Structured Thin Films: Growth, Characteristics, and Application)

Abstract

:
X-ray nanodiffraction was used to measure the thermal stress of 10 µm nanotwinned Cu bumps in Cu/SiO2 hybrid structures at −55 °C, 27 °C, 100 °C, 150 °C, and 200 °C. Bonding can be achieved without externally applied compression. The X-ray beam size is about 100 nm in diameter. The Cu bump is dominated by (111) oriented nano-twins. Before the hybrid bonding, the thermal stress in Cu bumps is compressive and remains compressive after bonding. The average stress in the bonded Cu joint at 200 °C is as large as −169.1 MPa. In addition, using the strain data measured at various temperatures, one can calculate the effective thermal expansion coefficient (CTE) for the 10 µm Cu bumps confined by the SiO2 dielectrics. This study reports a useful approach on measuring the strain and stress in oriented metal bumps confined by SiO2 dielectrics. The results also provide a deeper understanding on the mechanism of hybrid bonding without externally applied compression.

1. Introduction

Owing to the demand for high-performing computing (HPC) devices and high bandwidth memory (HBM), the density of micro-bumps in 3D IC technology has increased significantly. Moreover, the solder joint has destructive reliability issues with decreasing pitch, such as sidewall wetting, brittle intermetallic compound (IMC) formation, and bridge failure [1,2,3]. Thus, Cu/SiO2 or Cu/SiCN hybrid bonds have replaced the solder joints in HPC devices [4,5,6,7,8,9,10,11,12,13,14]. However, the current temperature to achieve Cu hybrid bonding is about 300 °C. Several studies propose different approaches to achieve low-temperature bonding, including (111)-oriented nano-twinned Cu, adoption of the passivation layer, and plasma treatment [10,15,16,17,18,19,20,21,22,23,24]. Using the rapid surface diffusion on (111)-preferred surfaces, one can reduce the bonding temperature to 150 °C [16].
Nanotwinned Cu (NT-Cu) has high strength and low resistivity compared with nanocrystalline Cu and coarse-grained Cu [25]. The common methods of fabrication of NT-Cu are sputter and pulse electroplating, but the cost of sputter and the time duration of pulse electroplating is not suitable for the micro-electrical industry [25,26,27,28,29,30,31]. In 2012, Chen’s group introduced NT-Cu fabricated by direct-current electroplating [32]. In particular, the highly (111)-oriented NT-Cu has the highest surface diffusivity and a low oxidation rate [33,34,35], so it is highly suitable for Cu direct bonding. Also, the NT-Cu is thermal stable up to 300 °C and has high resistance against electromigration and high strength [36,37,38,39]. The NT-Cu has abnormal grain growth, which can enhance the bonding strength [40], so (111)-oriented NT-Cu would be a promising candidate of Cu hybrid bonding.
The kinetic mechanism for forming the Cu/SiO2 joints is surface creep, illustrated in Figure 1 [16,19]. Those Cu bumps with a slight recess in SiO2 vias are aligned and pressurized at near room temperature, and the SiO2–SiO2 dielectric first bonded to each other. After the pressurization process, the Si wafer pair was heated to a high temperature of 150–300 °C [41,42]. Because the thermal expansion coefficient (CTE) of Cu is larger than that of SiO2, the two Cu bumps expand to touch each other and provide the compressive stress gradient needed for creep to occur, as depicted in Figure 1a,b. It is worth noting that it does not need any external compression during the heating process. The value of the generated stress due to the CTE mismatch was simulated by finite element analysis [43,44,45]. However, there is no experimental measurement of the strain/stress value in the Cu joints near the bonding temperature so far.
In this study, the strain in the 8 µm Cu pad, 10 µm Cu pad, and 8 µm Cu joint was in situ measured by synchrotron X-ray at −55, 27, 100, 150, and 200 °C using nanobeam diffraction. The resolution of the beam size is 100 nm. Therefore, we can obtain the strain and stress distribution in the Cu joints at different temperatures, which can provide a deeper understanding than the previous studies on the fabrication and reliability of Cu–Cu hybrid joints.

2. Materials and Methods

This study used three types of samples to measure the thermal strain: the top die, bottom die, and bonded joint. The top die (6 × 6 mm2) consists of arrays of Cu bumps with 10 µm diameter, and the bottom die (15 × 15 mm2) comprises arrays of Cu bumps with 8 µm diameter on top of Cu redistribution layers (RDLs). The thickness of Cu bumps and Cu RDLs is ~1.25 µm; thus, the total thickness of the top die, bottom die, and bonded joint is ~1.25, ~2.5, and ~3.75 µm, respectively. The fabrication method of the hybrid Cu/SiO2 joints was reported in a previous study [16]. Nano-twinned Cu with highly (111) preferred orientation was fabricated by electrodeposition [32]. We adopted a complete package without being cut or ground for the thermal strain measurement, so the strain/stress distribution is similar to the state during bonding. The thickness of the top Si wafer is only 100 µm, so the X-ray can penetrate the entire device and can directly detect the diffraction signals from Cu bumps. The strain distribution was performed by using the X-ray nano-diffraction (XND) beamline, BL21A station, at Taiwan Photon Source (TPS) at the National Synchrotron Radiation Research Center (NSRRC) in Hsinchu, Taiwan. The instrument accommodates an FE-SEM and X-ray fluorescence (nano-XRF) system to navigate the 100 nm focused white/mono X-rays on a specific bump region. The energy range of the focused X-ray covers from 5 to 30 keV, and for the experiment, we quickly switched to the mono X-ray beam by introducing the 4-bunch crystal monochromator (4BCM) [46]. The entire system was combined in a high-vacuum chamber (10−7 Torr) to prevent air scattering of diffracted signals, as shown in Figure 2a.
In the nano-diffraction technique, to avoid the displacement caused by the rotation of the sample, we fixed the sample at an angle and scanned the energy of the incident X-ray instead of rotating the sample. Considering Bragg’s equation, scanning the incident X-ray energy allowed us to obtain the distribution of the lattice spacing of the sample at a fixed angle. This technique is called energy-dispersive nano-diffraction (ED-XND), as shown in Figure 2b. In this study, the sample was fixed at a 45° angle, and the diffraction signal was collected using a large-area detector (Pilatus 6M, Dectris, Baden, Switzerland) at a reflection geometry 90° above the sample stage. We chose a region of interest (2-theta ROI) on the detector and converted the incident X-ray energy into scattering wave vector, Q, or reciprocal lattice units, r.l.u.; an 1-D diffraction pattern from a nanometer scale region can be obtained for structural determination. We selected the X-ray energy from a lattice plane vector and scanned the sample for two-dimensional mapping; by analyzing the angular shift of this crystal plane on the detector, the crystal plane and strain distribution can be observed in real space.
The strain caused by the CTE mismatch is of interest at different temperatures, especially near the bonding temperatures; also, we were concerned about the reliability during thermal cycling test of hybrid bonding, so we measured the temperature at low temperature [15,16]. Therefore, in this study, the sample temperature was set at −55, 27, 100, 150, and 200 °C. The thermal strain at various temperatures was calculated by Equation (1).
ε = d d 0 , T = d s d 0 , T d 0 , T
where ε is the lattice strain, d s is the strain lattice parameter, and d 0 , T is the unstrained lattice parameter at temperature T, which would change with temperature, so the unstrained lattice parameter d 0 , T was calculated by Equation (2).
d 0 , T = d 0,25 ° C × ( T 298 ) × ( 1 + α )
where d 0,25 ° C is the unstrained lattice parameter at 25 °C, and α is the coefficient of thermal expansion. At room temperature (25 °C), the unstrained lattice parameter of Cu was taken as 0.3615 nm, which is the lattice constant in powder diffraction (PDF 00-004-0836), and the coefficient of thermal expansion was 16.99 × 10−6/°C at 25 °C. The microstructure of the top die, the bottom die, and the bonded joint was observed with a focused ion beam (FIB).

3. Results and Discussion

3.1. Diffraction Intensity and Microstructure

The thermal strain/stress generated by the mismatch of CTE provides the driving force for the Cu–Cu bonding. According to the ED-XND technique, we collected a diffraction pattern in the range of 1000 eV with a step of 10 eV. From the results, we observed a strong diffraction signal on the detector that was close to 86° two theta degrees when the incident mono X-ray energy was 8.7 keV. After calculation, this diffraction signal corresponds to Cu (222) and was oriented along the normal direction of the sample surface. Based on our previous studies, the surface (111) orientation of the nano-twin in the Cu bump on the top and bottom die is about 78% [16]. Hence, to understand the variation of strain between Cu–Cu bumps, measuring the lattice changes of the Cu {111} family along the bonding direction is the optimal choice.
Due to the geometric limitations of the XND beamline and the surface orientation of the Cu bump, as shown in Figure 2c, we selected Cu (222) crystal plane, detected at 8.7 keV incident X-ray, to plot the distribution of a single Cu–Cu bump. Figure 2d shows the spatial distribution of diffraction peak intensity from the Cu (222) crystal plane. A precision stage (SmarAct) moved the sample at an interval of 200 nm for two-dimensional mapping, and the measured region is 20 µm × 20 µm, which is completely included in one Cu joint. The thickness of the top Si die was ground from 725 µm to 100 µm to enhance the diffraction signals from Cu. The secondary electron image and ion image of the top die and the bottom die are shown in Figure 3. Figure 3a is the secondary electron image of the top die. There are four Cu pads in Figure 3a. In this study, we only measured the thermal strain of one Cu pad or joint in every sample. Figure 3b–d show the cross-sectional FIB image of the top die Cu pad, the bottom die Cu pad, and the bonded Cu joint. As can be seen, the microstructure of all samples has a nano-twin structure. The thickness of the Cu bump and RDL is 1.25 µm in each Cu layer. Therefore, the diffractions were from all of the three Cu layers.

3.2. Thermal Strain/Stress Maps

The strains in the top die Cu pad, the bottom die Cu pad, and the bonded Cu joint were calculated by Equation (1). The average thermal strain of all specimen is listed in Table 1. Figure 4 shows the thermal strain maps of the top die measured at 27, 100, 150, and 200 °C. The positive value indicates tensile strain, and the negative value represents compressive strain. The yellow circles in Figure 4 locate the site of the bump.
At 27 °C, the top die Cu pad is under compressive strain, and compressive strain rises as the temperature increases. The thermal strain maps indicate higher compressive strain at the middle of the top die bump, as shown in Figure 4. We suspect that the non-uniform planarization in the Cu bumps caused the higher strain. It is reported that dishing may occur in the Cu via chemical-mechanical polishing (CMP). The Cu near the edge of the bump is thicker than that near the center of the bump [36]. Therefore, the Cu near the edge was compressed more during the bonding process, as illustrated in Figure 1a. The average thermal strain of the top die Cu pad is −0.018%, −0.07%, −0.089%, and −0.111%, at 27, 100, 150, and 200 °C, respectively.
Figure 5 shows the thermal strain maps of the bottom die Cu pad measured at 27, 100, 150, and 200 °C. The blue (large) and green (small) circles in Figure 5 locate the site of the bump and the RDL, respectively. The average thermal strain of the bottom die Cu pad is −0.037%, −0.074%, −0.105%, and −0.113% at 27, 100, 150, and 200 °C, respectively. Figure 6 shows the thermal strain maps of the bonded Cu joint measured at −55, 27, 100, 150, and 200 °C. In Figure 6, we used blue (large) and green (small) circles to locate the site of the bump and the RDL, respectively. The average thermal strain of the bonded Cu joint is 0.007%, −0.039%, −0.072%, −0.094%, and −0.121% at −55, 27, 100, 150, and 200 °C. However, the misalignment between bumps in the top and bottom dies could not be observed from these maps. The thermal strain maps of the bonded joint have higher strain at the edge of the bottom die RDL in Figure 6b–d. This is not observed in the thermal strain maps of the top die and the bottom die, so we suspect the higher strain was caused by the thermal-compression bonding process. The thermal-compression bonding may cause some defects at the edge of the bottom die RDL. The trend of the average thermal strain of all samples is the same. All samples obtain higher compressive strain with increasing temperatures.
Furthermore, the Young’s modulus of Cu was taken as 140 GPa to calculate the change of thermal strain to thermal stress with Equation (3) [37].
σ = E × ε
where σ is thermal stress, E is Young’s modulus, and ε is thermal strain. The thermal stress of the top die Cu pad, bottom die Cu pad, and bonded Cu joint is shown in Figure 7, Figure 8 and Figure 9. The average thermal stress of the top die Cu pad, bottom die Cu pad, and the bonded Cu joint is listed in Table 2. The average thermal stress of the top die Cu pad is −25.2, −98.0, −124.6, and −155.4 MPa measured at 27, 100, 150, and 200 °C, respectively. The average thermal stress of the bottom die Cu pad is −51.8, −103.6, −147.0, and −158.2 MPa measured at 27, 100, 150, and 200 °C, respectively. Additionally, the average thermal stress of the bonded Cu joint is 9.8, −54.6, −100.8, −131.6, and −169.1 MPa measured at −55, 27, 100, 150, and 200 °C, respectively. In the Cu/SiO2 structure, the Cu pads were confined by the surrounding SiO2, so the thermal expansion behavior of the Cu pads would be inhibited. It is noteworthy to state that the stress values we measured were in the vertical direction of the Cu bumps because we adopted the diffraction spots from the (222) planes, as shown in Figure 2.
One might expect that the stress in Cu bumps in the top and bottom dies would be small in the vertical direction because the Cu may expand in the direction of the top free surface and release the stress imposed by the surrounding SiO2 layer. However, the stress values we measured are over 100 MPa in compression at temperatures higher than 100 °C. This is explained as follows. As the temperature increases, the Cu should expand more than the surrounding SiO2 because the CTE of Cu is much larger than that of the SiO2. As shown in Figure 3b,c, the Cu bumps would experience compressive stress from the lateral surrounding SiO2 layer. The Cu might relieve the stress through the expansion to the top surface. However, the Cu bumps adhere to the sidewalls of the SiO2 quite well. Thus, the vertical expansion might be limited to some extent. Therefore, the Cu bumps were under high compressive stress in the vertical direction.
With the strain values at various temperatures, one can calculate the effective CTE of the Cu bumps embedded in the SiO2 layer. Figure 10 plots the average thermal strain of the top die, bottom die, and the bonded joint against temperature. Since the strains we calculated were using Equations (1) and (2), the slopes of the fitting lines in Figure 10 represent the mismatch of the CTE between the confined Cu bumps and free-standing Cu. The slope of the top die Cu pad, bottom die Cu pad, and bonded Cu joint is −5.3, −4.6, and −4.9 ppm/°C, respectively. Then, one can obtain the effective CTE of the Cu pad by adding the above value to the CTE of free-standing Cu, which is 16.99 ppm/°C. Therefore, the effective CTE of the top die Cu pad, bottom die Cu pad, and the bonded Cu joint is calculated to be 11.7, 12.4, and 12.1 ppm/°C, respectively. In our previous study, we found the effective CTE of the Cu line is about 21 ppm/°C, which is greater than the CTE of the free-standing Cu [46]. Because the Cu line is not embedded in the SiO2, the effective CTE is higher. Moreover, we can use the effective CTE to calculate the expansive height due to thermal expansion at the bonding temperature with Equation (4).
Δ L = α e f f L 0 T
where ΔL is the difference in height, αeff is the effective CTE, L0 is the thickness of Cu pad, and ∆T is the temperature difference. We substituted αeff as 11.7 and 12.4 ppm/°C for the top die Cu pad and the bottom die Cu pad, L0 as 1250 nm, and ∆T as 173 °C. Then, we obtained the expansive height of the top die Cu pad and the bottom die Cu pad as 2.5 and 2.7 nm, respectively. These values represent the maximum recess of the top die and the bottom die Cu pad, which cannot be over 2.5 and 2.7 nm for good bonding quality. In addition, as the pitch and the thickness of the Cu pad shrink, the maximum recess should decrease as well. As the size of Cu pad decreases to 500 nm, the calculated thermal expansion should be less than 4 nm [47]. However, the constraint of the surrounding SiO2 would be aggravated in smaller bumps. Thus, the effective CTE and the behavior of thermal expansion in fine pitch needs more investigation in the future.
The thermal stress results provide a fundamental understanding of the mechanism of the Cu–Cu bonding. For previous study on the Cu–Cu bonding using blanket films, an external pressure ranging from 1 MPa to tens of MPa was applied to the Cu films at elevated temperatures, which is called “thermal compression bonding”, and bonding was achieved after approximately 1 h of the creep process. However, for real applications in microelectronic devices, the Cu bumps are embedded in dielectric films, as illustrated in Figure 1, so a hybrid bonding is needed. Although there is no external pressure applied to the top and the bottom wafer at the bonding temperature at 200 °C in this study, the local stress in the Cu bumps is as high as −169.1 MPa, which is generated by the mismatch of CTE in the heterogeneous integrated structure. The high thermal stress provides the pressure needed for the Cu–Cu diffusion bonding. On the other hand, the local high pressure may cause failure on the fragile, porous, low-K dielectric materials underneath the Cu joints. Therefore, managing the pressure during Cu–Cu bonding is an essential task for the microelectronic industry.

4. Conclusions

We used X-ray nano-diffraction to measure the thermal stress in a (111)-oriented NT-Cu bump of the hybrid Cu/SiO2 joint at various temperatures. At room temperature, the average thermal strain is compressive, and as the temperature increases, the thermal compressive strain increases. The average thermal stress of the top die Cu pad measured at 27, 100, 150, and 200 °C was −51.8, −103.6, −147.0, and −158.2 MPa, respectively. The average thermal stress of the bottom die Cu pad measured at 27, 100, 150, and 200 °C was −25.2, −98.0, −124.6, and −155.4 MPa, respectively. The average thermal stress of the bonded Cu joint measured at −55, 27, 100, 150, and 200 °C was 9.6, −54.1, −101.3, −131.3, and −169.1 MPa, respectively. In addition, from the slope of the average thermal strain of Cu pad against temperature, one can obtain the effective CTE of Cu bumps confined in the SiO2. The measured effective CTE of the top die Cu pad, bottom die Cu pad, and bonded Cu joint was 11.7, 12.4, and 12.1 ppm/°C, respectively, which is much lower than the literature value of 16.99 ppm/°C for the free-standing Cu. The high thermal stress at 200 °C provides the driving force for the Cu–Cu diffusion bonding. These results provided a new insight of the effect of thermal stress on Cu/SiO2 hybrid bonding.

Author Contributions

Conceptualization, W.-Y.H., C.-Y.C. and C.C.; methodology, W.-Y.H.; software, W.-Y.H., S.-C.Y., Y.-Y.L. and W.-Z.H.; validation, K.-N.T., C.-Y.C. and C.C.; formal analysis, W.-Y.H., S.-C.Y., Y.-Y.L., W.-Z.H., K.-N.T., W.-L.C., H.-H.C., C.-Y.C. and C.C.; investigation, W.-Y.H.; resources, C.-Y.C. and C.C.; data curation, W.-Y.H.; writing—original draft preparation, W.-Y.H., C.-Y.C. and C.C.; writing—review and editing, W.-Y.H., K.-N.T., C.-Y.C. and C.C.; visualization, C.C.; supervision, C.C.; project administration, C.C.; funding acquisition, C.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by National Science and Technology Council, Taiwan grant number NSTC 111-2634-F-A49-008 and NSTC 110-2221-E-A49-075-MY3.

Data Availability Statement

The authors declare that they have no known competing financial interests or personal relationships that could appear to influence the work reported in this paper.

Acknowledgments

The authors would like to acknowledge the funding support by the “Center for the Semiconductor Technology Research” from The Featured Areas Research Center Program within the framework of the Higher Education Sprout Project by the Ministry of Education (MOE), Taiwan; and the National Science and Technology Council, Taiwan under Grant NSTC 111-2634-F-A49-008 and NSTC 110-2221-E-A49-075-MY3 and Semiconductor Research Corporation (SRC), USA.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Oi, K.; Otake, S.; Shimizu, N.; Watanabe, S.; Kunimoto, Y.; Kurihara, T.; Koyama, T.; Tanaka, M.; Aryasomayajula, L.; Kutlu, Z. Development of New 2.5D Package with Novel Integrated Organic Interposer Substrate with Ultra-Fine Wiring and High Density Bumps. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; IEEE: Piscataway Township, NJ, USA, 2014; pp. 348–353. [Google Scholar] [CrossRef]
  2. Juang, J.; Lu, S.; Zhan, C.; Su-Ching, C.; Fan, C.; Peng, J.; Chen, T. Development of 30 Um Pitch Cu/Ni/SnAg Micro-Bump-Bonded Chip-on-Chip (COC) Interconnects. In Proceedings of the 2010 5th International Microsystems Packaging Assembly and Circuits Technology Conference, Taipei, Taiwan, 20–22 October 2010; IEEE: Piscataway Township, NJ, USA, 2010; pp. 1–4. [Google Scholar]
  3. Juang, J.Y.; Huang, S.Y.; Zhan, C.J.; Lin, Y.M.; Huang, Y.W.; Fan, C.W.; Chung, S.C.; Chen, S.M.; Peng, J.S.; Lu, Y.L.; et al. Effect of Metal Finishing Fabricated by Electro and Electroless Plating Process on Reliability Performance of 30 μm-Pitch Solder Micro Bump Interconnection. In Proceedings of the 2013 IEEE 63rd Electronic Components and Technology Conference, Las Vegas, NV, USA, 28–31 May 2013; IEEE: Piscataway Township, NJ, USA, 2013; pp. 653–659. [Google Scholar] [CrossRef]
  4. Kim, S.W.; Fodor, F.; Heylen, N.; Iacovo, S.; DeVos, J.; Miller, A.; Beyer, G.; Beyne, E. Novel Cu/SiCN Surface Topography Control for 1 Μm Pitch Hybrid Wafer-to-Wafer Bonding. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; IEEE: Piscataway Township, NJ, USA, 2020; pp. 216–222. [Google Scholar] [CrossRef]
  5. Beyne, E.; Kim, S.W.; Peng, L.; Heylen, N.; DeMessemaeker, J.; Okudur, O.O.; Phommahaxay, A.; Kim, T.G.; Stucchi, M.; Velenis, D.; et al. Scalable, Sub 2μm Pitch, Cu/SiCN to Cu/SiCN Hybrid Wafer-to-Wafer Bonding Technology. In Proceedings of the Technical Digest—International Electron Devices Meeting, IEDM, San Francisco, CA, USA, 1–5 December 2018; IEEE: Piscataway Township, NJ, USA, 2018; pp. 32.4.1–32.4.4. [Google Scholar]
  6. Chen, M.F.; Chen, F.C.; Chiou, W.C.; Yu, D.C.H. System on Integrated Chips (SoIC(TM) for 3D Heterogeneous Integration. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; IEEE: Piscataway Township, NJ, USA, 2019; pp. 594–599. [Google Scholar] [CrossRef]
  7. Jourdon, J.; Lhostis, S.; Moreau, S.; Chossat, J.; Arnoux, M.; Sart, C.; Henrion, Y.; Lamontagne, P.; Arnaud, L.; Bresson, N.; et al. Hybrid Bonding for 3D Stacked Image Sensors: Impact of Pitch Shrinkage on Interconnect Robustness. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; IEEE: Piscataway Township, NJ, USA, 2019; pp. 7.3.1–7.3.4. [Google Scholar] [CrossRef]
  8. Roshanghias, A.; Kaczynski, J.; Rodrigues, A.; Karami, R.; Pires, M.; Burggraf, J.; Schmidt, A. 3D Integration via D2D Bump-Less Cu Bonding with Protruded and Recessed Topographies. ECS J. Solid State Sci. Technol. 2023, 12, 084001. [Google Scholar] [CrossRef]
  9. Oprins, H.; Cherman, V.; Webers, T.; Kim, S.W.; DeVos, J.; Van DerPlas, G.; Beyne, E. 3D Wafer-to-Wafer Bonding Thermal Resistance Comparison: Hybrid Cu/Dielectric Bonding versus Dielectric via-Last Bonding. In Proceedings of the 2020 19th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Orlando, FL, USA, 21–23 July 2020; IEEE: Piscataway Township, NJ, USA, 2020; pp. 219–228. [Google Scholar] [CrossRef]
  10. Iacovo, S.; Peng, L.; Nagano, F.; Uhrmann, T.; Burggraf, J.; Fehkührer, A.; Conard, T.; Inoue, F.; Kim, S.W.; deVos, J.; et al. Characterization of Bonding Activation Sequences to Enable Ultra-Low Cu/SiCN Wafer Level Hybrid Bonding. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), Virtual, 1 June–4 July 2021; IEEE: Piscataway Township, NJ, USA, 2021; pp. 2097–2104. [Google Scholar]
  11. Lau, J.H. Recent Advances and Trends in Advanced Packaging. IEEE Trans. Compon. Packag. Manuf. Technol. 2022, 12, 228–252. [Google Scholar] [CrossRef]
  12. Kagawa, Y.; Fujii, N.; Aoyagi, K.; Kobayashi, Y.; Nishi, S.; Todaka, N.; Takeshita, S.; Taura, J.; Takahashi, H.; Nishimura, Y.; et al. Novel Stacked CMOS Image Sensor with Advanced Cu2Cu Hybrid Bonding. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; IEEE: Piscataway Township, NJ, USA, 2017; pp. 8.4.1–8.4.4. [Google Scholar] [CrossRef]
  13. Chen, M.F.; Lin, C.S.; Liao, E.B.; Chiou, W.C.; Kuo, C.C.; Hu, C.C.; Tsai, C.H.; Wang, C.T.; Yu, D. SoIC for Low-Temperature, Multi-Layer 3D Memory Integration. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; IEEE: Piscataway Township, NJ, USA, 2020; pp. 855–860. [Google Scholar] [CrossRef]
  14. Kagawa, Y.; Hida, S.; Kobayashi, Y.; Takahashi, K.; Miyanomae, S.; Kawamura, M.; Kawashima, H.; Yamagishi, H.; Hirano, T.; Tatani, K.; et al. The Scaling of Cu-Cu Hybrid Bonding For High Density 3D Chip Stacking. In Proceedings of the 2019 Electron Devices Technology and Manufacturing Conference (EDTM), Singapore, 12–15 March 2019; IEEE: Piscataway Township, NJ, USA, 2019; pp. 297–299. [Google Scholar] [CrossRef]
  15. Panigrahi, A.K.; Bonam, S.; Ghosh, T.; Singh, S.G.; Vanjari, S.R.K. Ultra-Thin Ti Passivation Mediated Breakthrough in High Quality Cu-Cu Bonding at Low Temperature and Pressure. Mater. Lett. 2016, 169, 269–272. [Google Scholar] [CrossRef]
  16. Ong, J.J.; Chiu, W.L.; Lee, O.H.; Chiang, C.W.; Chang, H.H.; Wang, C.H.; Shie, K.C.; Yang, S.C.; Tran, D.P.; Tu, K.N.; et al. Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using (111)-Oriented Cu Surfaces. Materials 2022, 15, 1888. [Google Scholar] [CrossRef]
  17. Kim, T.H.; Howlader, M.M.R.; Itoh, T.; Suga, T. Room Temperature Cu–Cu Direct Bonding Using Surface Activated Bonding Method. J. Vac. Sci. Technol. A Vac. Surf. Film. 2003, 21, 449–453. [Google Scholar] [CrossRef]
  18. Hong, Z.J.; Weng, M.W.; Chen, C.H.; Hsu, M.P.; Hu, H.W.; Lin, T.Y.; Hung, Y.C.; Chen, K.N. Scheme for Multi-Chiplet Integration With Low Thermal Budget by Asymmetric Cu-Cu Bonding With Au Passivation Bonding Structure. IEEE Electron Device Lett. 2023, 44, 492–495. [Google Scholar] [CrossRef]
  19. Tu, K.; Chen, C.; Chen, H. Electronic Packaging Science and Technology; John Wiley & Sons: Hoboken, NJ, USA, 2021; ISBN 1119418313, 9781119418313. [Google Scholar]
  20. Braun, T.; Voges, S.; Töpper, M.; Wilke, M.; Wöhrmann, M.; Maaß, U.; Huhn, M.; Becker, K.F.; Raatz, S.; Kim, J.U.; et al. Material and Process Trends for Moving from FOWLP to FOPLP. In Proceedings of the 2015 IEEE 17th Electronics Packaging and Technology Conference (EPTC), Singapore, 2–4 December 2015; IEEE: Piscataway Township, NJ, USA, 2016; pp. 1–6. [Google Scholar] [CrossRef]
  21. Chou, T.C.; Yang, K.M.; Li, J.C.; Yu, T.Y.; Chung, Y.T.; Ko, C.T.; Chen, Y.H.; Tseng, T.J.; Chen, K.N. Non-Planarization Cu-Cu Direct Bonding and Gang Bonding with Low Temperature and Short Duration in Ambient Atmosphere. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; IEEE: Piscataway Township, NJ, USA, 2019; pp. 106–109. [Google Scholar] [CrossRef]
  22. Kim, S.; Nam, Y.; Kim, S.E. Effects of Forming Gas Plasma Treatment on Low-Temperature Cu-Cu Direct Bonding. JPN J. Appl. Phys. 2016, 55, 2–6. [Google Scholar] [CrossRef]
  23. Hong, Z.J.; Liu, D.; Hu, H.W.; Cho, C.I.; Weng, M.W.; Liu, J.H.; Chen, K.N. Investigation of Bonding Mechanism for Low-Temperature Cu–Cu Bonding with Passivation Layer. Appl. Surf. Sci. 2022, 592, 153243. [Google Scholar] [CrossRef]
  24. Hsu, M.-P.; Chen, C.-Y.; Chang, H.-C.; Hong, Z.-J.; Weng, M.-W.; Chen, K.-N. Development of Low-Temperature Bonding Platform Using Ultra-Thin Area Selective Deposition for Heterogeneous Integration. Appl. Surf. Sci. 2023, 635, 157645. [Google Scholar] [CrossRef]
  25. Lu, L.; Shen, Y.; Chen, X.; Qian, L.; Lu, K. Ultrahigh Strength and High Electrical Conductivity in Copper. Science 2004, 304, 422–426. [Google Scholar] [CrossRef]
  26. Anderoglu, O.; Misra, A.; Wang, H.; Zhang, X. Thermal Stability of Sputtered Cu Films with Nanoscale Growth Twins. J. Appl. Phys. 2008, 103, 094322. [Google Scholar] [CrossRef]
  27. Lu, L.; Chen, X.; Huang, X.; Lu, K. Revealing the Maximum Strength in Nanotwinned Copper. Science 2009, 323, 607–610. [Google Scholar] [CrossRef] [PubMed]
  28. Zhan, X.; Lian, J.; Li, H.; Wang, X.; Zhou, J.; Trieu, K.; Zhang, X. Preparation of Highly (111) Textured Nanotwinned Copper by Medium-Frequency Pulsed Electrodeposition in an Additive-Free Electrolyte. Electrochim. Acta 2021, 365, 137391. [Google Scholar] [CrossRef]
  29. Cheng, G.; Li, H.; Xu, G.; Gai, W.; Luo, L. In Situ Observation of Nanotwins Formation through Twin Terrace Growth in Pulse Electrodeposited Cu Films. Sci. Rep. 2017, 7, 12393. [Google Scholar] [CrossRef] [PubMed]
  30. Hasegawa, M.; Mieszala, M.; Zhang, Y.; Erni, R.; Michler, J.; Philippe, L. Orientation-Controlled Nanotwinned Copper Prepared by Electrodeposition. Electrochim. Acta 2015, 178, 458–467. [Google Scholar] [CrossRef]
  31. Zhang, X.; Wang, H.; Chen, X.H.; Lu, L.; Lu, K.; Hoagland, R.G.; Misra, A. High-Strength Sputter-Deposited Cu Foils with Preferred Orientation of Nanoscale Growth Twins. Appl. Phys. Lett. 2006, 88, 2004–2007. [Google Scholar] [CrossRef]
  32. Hsiao, H.Y.; Liu, C.M.; Lin, H.W.; Liu, T.C.; Lu, C.L.; Huang, Y.S.; Chen, C.; Tu, K.N. Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper. Science 2012, 336, 1007–1010. [Google Scholar] [CrossRef]
  33. Agrawal, P.M.; Rice, B.M.; Thompson, D.L. Predicting Trends in Rate Parameters for Self-Diffusion on FCC Metal Surfaces. Surf. Sci. 2002, 515, 21–35. [Google Scholar] [CrossRef]
  34. Tseng, C.H.; Tu, K.N.; Chen, C. Comparison of Oxidation in Uni-Directionally and Randomly Oriented Cu Films for Low Temperature Cu-to-Cu Direct Bonding. Sci. Rep. 2018, 8, 10671. [Google Scholar] [CrossRef]
  35. Lu, T.-F.; Lai, T.-Y.; Chu, Y.Y.; Wu, Y.S. Effect of Nanotwin Boundary on the Cu–Cu Bonding. ECS J. Solid State Sci. Technol. 2021, 10, 074001. [Google Scholar] [CrossRef]
  36. Hu, Z.J.; Qu, X.P.; Lin, H.; Huang, R.D.; Ge, X.C.; Li, M.; Chen, S.M.; Zhao, Y.H. Cu CMP Process Development and Characterization of Cu Dishing with 1.8 Μm Cu Pad and 3.6 Μm Pitch in Cu/SiO2 Hybrid Bonding. JPN J. Appl. Phys. 2019, 58, SHHC01. [Google Scholar] [CrossRef]
  37. Li, Y.J.; Tu, K.N.; Chen, C. Tensile Properties of <111>-Oriented Nanotwinned Cu with Different Columnar Grain Structures. Materials 2020, 13, 1310. [Google Scholar] [CrossRef] [PubMed]
  38. Hodge, A.M.; Furnish, T.A.; Navid, A.A.; Barbee, T.W. Shear Band Formation and Ductility in Nanotwinned Cu. Scr. Mater. 2011, 65, 1006–1009. [Google Scholar] [CrossRef]
  39. Cheng, Z.; Lu, L. The Effect of Gradient Order on Mechanical Behaviors of Gradient Nanotwinned Cu. Scr. Mater. 2019, 164, 130–134. [Google Scholar] [CrossRef]
  40. Juang, J.Y.; Lu, C.L.; Li, Y.J.; Hsu, P.N.; Tsou, N.T.; Tu, K.N.; Chen, C. A Solid State Process to Obtain High Mechanical Strength in Cu-to-Cu Joints by Surface Creep on (111)-Oriented Nanotwins Cu. J. Mater. Res. Technol. 2021, 14, 719–730. [Google Scholar] [CrossRef]
  41. Jhan, J.J.; Wataya, K.; Nishikawa, H.; Chen, C.M. Electrodeposition of Nanocrystalline Cu for Cu-Cu Direct Bonding. J. Taiwan Inst. Chem. Eng. 2022, 132, 104127. [Google Scholar] [CrossRef]
  42. Tang, Y.S.; Chang, Y.J.; Chen, K.N. Wafer-Level Cu-Cu Bonding Technology. Microelectron. Reliab. 2012, 52, 312–320. [Google Scholar] [CrossRef]
  43. Beilliard, Y.; Estevez, R.; Parry, G.; McGarry, P.; DiCioccio, L.; Coudrain, P. Thermomechanical Finite Element Modeling of Cu–SiO2 Direct Hybrid Bonding with a Dishing Effect on Cu Surfaces. Int. J. Solids Struct. 2017, 117, 208–220. [Google Scholar] [CrossRef]
  44. Sart, C.; Estevez, R.; Fiori, V.; Lhostis, S.; Deloffre, E.; Parry, G.; Gonella, R. Cu/SiO2 Hybrid Bonding: Finite Element Modeling and Experimental Characterization. In Proceedings of the 2016 6th Electronic System-Integration Technology Conference (ESTC), Grenoble, France, 13–15 September 2016; IEEE: Piscataway Township, NJ, USA, 2016; pp. 1–7. [Google Scholar] [CrossRef]
  45. Tsau, Y.W.; DeMessemaeker, J.; Salahouelhadj, A.; Gonzalez, M.; Witters, L.; Zhang, B.; Seefeldt, M.; Beyne, E.; DeWolf, I. Simulation of Cu Pad Expansion in Wafer-to-Wafer Cu/SiCN Hybrid Bonding. Microelectron. Reliab. 2022, 138, 114716. [Google Scholar] [CrossRef]
  46. Hsu, W.Y.; Tseng, I.H.; Chiang, C.Y.; Tu, K.N.; Chen, C. Distribution of Elastic Stress as a Function of Temperature in a 2-µm Redistribution Line of Cu Measured with X-Ray Nanodiffraction Analysis. J. Mater. Res. Technol. 2022, 20, 2799–2808. [Google Scholar] [CrossRef]
  47. Kagawa, Y.; Kamibayashi, T.; Yamano, Y.; Nishio, K.; Sakamoto, A.; Yamada, T.; Shimizu, K.; Hirano, T.; Iwamoto, H. Development of Face-to-Face and Face-to-Back Ultra-Fine Pitch Cu-Cu Hybrid Bonding. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; IEEE: Piscataway Township, NJ, USA, 2022; pp. 306–311. [Google Scholar] [CrossRef]
Figure 1. Structure of Cu/SiO2 hybrid joints. (a) Schematic drawing after the SiO2-SiO2 bonding near room temperature. (b) Schematic structure showing the Cu expanding die to CTE mismatch at elevated temperature.
Figure 1. Structure of Cu/SiO2 hybrid joints. (a) Schematic drawing after the SiO2-SiO2 bonding near room temperature. (b) Schematic structure showing the Cu expanding die to CTE mismatch at elevated temperature.
Nanomaterials 13 02448 g001
Figure 2. (a) Schematic diagram of measurement at BL21A. (b) The measured method of ED-XND. (c) The schematic diagram of the (111)-oriented Cu in SiO2 via and beamline limitation. (d) The spatial distribution of diffraction peak intensity from the Cu (222) crystal plane.
Figure 2. (a) Schematic diagram of measurement at BL21A. (b) The measured method of ED-XND. (c) The schematic diagram of the (111)-oriented Cu in SiO2 via and beamline limitation. (d) The spatial distribution of diffraction peak intensity from the Cu (222) crystal plane.
Nanomaterials 13 02448 g002
Figure 3. Microstructure of NT-Cu pad. (a) Plan-view SEM secondary electron image of top die NT-Cu pads. (b) Cross-sectional ion image of the top die NT-Cu pad. (c) Cross-sectional ion image of the bottom die NT-Cu pad. (d) Cross-sectional ion image of the bonded NT-Cu joint.
Figure 3. Microstructure of NT-Cu pad. (a) Plan-view SEM secondary electron image of top die NT-Cu pads. (b) Cross-sectional ion image of the top die NT-Cu pad. (c) Cross-sectional ion image of the bottom die NT-Cu pad. (d) Cross-sectional ion image of the bonded NT-Cu joint.
Nanomaterials 13 02448 g003aNanomaterials 13 02448 g003b
Figure 4. Thermal strain maps of the top die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The yellow circles represent the site of the bump.
Figure 4. Thermal strain maps of the top die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The yellow circles represent the site of the bump.
Nanomaterials 13 02448 g004
Figure 5. Thermal strain maps of the bottom die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The blue and green circles locate the site of the bump and the RDL, respectively.
Figure 5. Thermal strain maps of the bottom die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The blue and green circles locate the site of the bump and the RDL, respectively.
Nanomaterials 13 02448 g005
Figure 6. Thermal strain maps of the bonded joint measured at (a) −55, (b) 27, (c) 100, (d) 150, and (e) 200 °C. The blue and green circles represent the locations of the bump and the RDL, respectively.
Figure 6. Thermal strain maps of the bonded joint measured at (a) −55, (b) 27, (c) 100, (d) 150, and (e) 200 °C. The blue and green circles represent the locations of the bump and the RDL, respectively.
Nanomaterials 13 02448 g006
Figure 7. Thermal stress maps of the top die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The yellow circles represent the site of the bump.
Figure 7. Thermal stress maps of the top die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The yellow circles represent the site of the bump.
Nanomaterials 13 02448 g007
Figure 8. Thermal stress maps of the bottom die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The blue and green circles represent the sites of the bump and the RDL, respectively.
Figure 8. Thermal stress maps of the bottom die measured at (a) 27, (b) 100, (c) 150, and (d) 200 °C. The blue and green circles represent the sites of the bump and the RDL, respectively.
Nanomaterials 13 02448 g008
Figure 9. Thermal stress maps of the bonded joint measured at (a) −55, (b) 27, (c) 100, (d) 150, and (e) 200 °C. The blue and green circles represent the sites of the bump and the RDL, respectively.
Figure 9. Thermal stress maps of the bonded joint measured at (a) −55, (b) 27, (c) 100, (d) 150, and (e) 200 °C. The blue and green circles represent the sites of the bump and the RDL, respectively.
Nanomaterials 13 02448 g009
Figure 10. The average thermal strain of the top die, bottom die, and bonded joint.
Figure 10. The average thermal strain of the top die, bottom die, and bonded joint.
Nanomaterials 13 02448 g010
Table 1. Summary for the average thermal strain of Cu via in the top die, bottom die, and bonded joint at various temperatures.
Table 1. Summary for the average thermal strain of Cu via in the top die, bottom die, and bonded joint at various temperatures.
Unit: %T/°C −5527100150200
Top dieN/A−0.018−0.07−0.089−0.111
Bottom dieN/A−0.037−0.074−0.105−0.113
Bonded joint0.007−0.039−0.072−0.094−0.121
Table 2. Summary for the average thermal stress in Cu via in the top die, bottom die, and bonded joint at various temperature.
Table 2. Summary for the average thermal stress in Cu via in the top die, bottom die, and bonded joint at various temperature.
Unit: MPaT/°C −5527100150200
Top dieN/A−25.2−98.0−124.6−155.4
Bottom dieN/A−51.8−103.6−147.0−158.2
Bonded joint9.8−54.6−100.8−131.6−169.1
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Hsu, W.-Y.; Yang, S.-C.; Lin, Y.-Y.; Hsieh, W.-Z.; Tu, K.-N.; Chiu, W.-L.; Chang, H.-H.; Chiang, C.-Y.; Chen, C. Measurement of Thermal Stress by X-ray Nano-Diffraction in (111)-Oriented Nanotwinned Cu Bumps for Cu/SiO2 Hybrid Joints. Nanomaterials 2023, 13, 2448. https://doi.org/10.3390/nano13172448

AMA Style

Hsu W-Y, Yang S-C, Lin Y-Y, Hsieh W-Z, Tu K-N, Chiu W-L, Chang H-H, Chiang C-Y, Chen C. Measurement of Thermal Stress by X-ray Nano-Diffraction in (111)-Oriented Nanotwinned Cu Bumps for Cu/SiO2 Hybrid Joints. Nanomaterials. 2023; 13(17):2448. https://doi.org/10.3390/nano13172448

Chicago/Turabian Style

Hsu, Wei-You, Shih-Chi Yang, You-Yi Lin, Wan-Zhen Hsieh, King-Ning Tu, Wei-Lan Chiu, Hsiang-Hung Chang, Ching-Yu Chiang, and Chih Chen. 2023. "Measurement of Thermal Stress by X-ray Nano-Diffraction in (111)-Oriented Nanotwinned Cu Bumps for Cu/SiO2 Hybrid Joints" Nanomaterials 13, no. 17: 2448. https://doi.org/10.3390/nano13172448

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop