Next Article in Journal
Temperature Dependence of Electrical Resistance in Carbon Nanotube Composite Film during Curing Process
Next Article in Special Issue
Application of Two-Dimensional Materials towards CMOS-Integrated Gas Sensors
Previous Article in Journal
An Exploration of Nanoparticle-Based Diagnostic Approaches for Coronaviruses: SARS-CoV-2, SARS-CoV and MERS-CoV
Previous Article in Special Issue
Device Modeling of Organic Photovoltaic Cells with Traditional and Inverted Cells Using s-SWCNT:C60 as Active Layer
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Challenges for Nanoscale CMOS Logic Based on Two-Dimensional Materials

Institute for Microelectronics, TU Wien, Gußhausstraße 27–29/E360, 1040 Vienna, Austria
*
Author to whom correspondence should be addressed.
Nanomaterials 2022, 12(20), 3548; https://doi.org/10.3390/nano12203548
Submission received: 1 September 2022 / Revised: 30 September 2022 / Accepted: 3 October 2022 / Published: 11 October 2022
(This article belongs to the Special Issue Abridging the CMOS Technology)

Abstract

:
For ultra-scaled technology nodes at channel lengths below 12 nm, two-dimensional (2D) materials are a potential replacement for silicon since even atomically thin 2D semiconductors can maintain sizable mobilities and provide enhanced gate control in a stacked channel nanosheet transistor geometry. While theoretical projections and available experimental prototypes indicate great potential for 2D field effect transistors (FETs), several major challenges must be solved to realize CMOS logic circuits based on 2D materials at the wafer scale. This review discusses the most critical issues and benchmarks against the targets outlined for the 0.7 nm node in the International Roadmap for Devices and Systems scheduled for 2034. These issues are grouped into four areas; device scaling, the formation of low-resistive contacts to 2D semiconductors, gate stack design, and wafer-scale process integration. Here, we summarize recent developments in these areas and identify the most important future research questions which will have to be solved to allow for industrial adaptation of the 2D technology.

1. Introduction

In recent years, it has become increasingly difficult to sustain the continued downscaling in silicon technology. As large manufacturers like TSMC have repeatedly delayed mass production at the 3 nm node from late 2021 to the second half of 2022 and now to early 2023, the continued scaling results in exploding costs and extremely complex processing with more than 1000 processing steps for a single wafer. Even more, at ultimately scaled gate lengths ( L G ) of below 12 nm, the scaling meets a physical limit as the channel thickness (t) has to be considerably reduced to maintain the required device performance. As a rule of thumb, t = L G / 4 must hold to ensure good gate control. However, for silicon, as well as any other three-dimensional (3D) semiconductor, the mobility substantially degrades at a layer thickness below 3 nm due to excessive charge carrier scattering at the interfaces [1]. As two-dimensional (2D) semiconductors are inherently only one atomic layer thick, they can provide sizable carrier mobilities when used as the channel material for scaled field-effect transistors (FETs) [2,3]. Therefore, the present version of the International Roadmap for Devices and Systems (IRDS) lists 2D materials as a component for complementary beyond-CMOS devices starting from the 1.5 nm node ( L G = 12 nm) scheduled for 2028 and as a channel material for standard CMOS technology from the 0.7 nm node ( L G = 12 nm) scheduled for 2034 [4]. In Figure 1a the layout of a planar Si MOSFET is compared to the layout of a double-gated 2D FET in Figure 1b.
Applications as complementary beyond-CMOS devices include a large variety of application scenarios where 2D FETs could be integrated together with silicon CMOS chips in a monolithic way at the back end of the line (BEOL). For example, a graphene-based image sensor array has been realized on a CMOS chip [5]. In this way, also photonic and optoelectronic devices like 2D material based single photon emitters [6,7], neuromorphic elements like memristors [8,9] or gas and biosensors [10,11] could be integrated on top of silicon CMOS chips. In addition, the unique physics of atomically thin semiconductors allows for new opportunities for high-performance devices at the front end of the line (FEOL). As an example, layered materials offer the possibility to form high-quality van der Waals interfaces between various materials [12,13]. This stacking of various 2D materials allows to form van der Waals heterostructures for novel device designs [14], among them designs which aim to overcome the thermal limitations of the subthreshold slope in conventional FETs, for example tunnel FETs [15] and Dirac source FETs [16]. In addition, it was recently discovered that the bandgap of layered materials can be quenched in large gate fields [17]. Even though this effect has up to now only been observable in ionic liquid gated devices, it offers new design possibilities.
In general, there are over 100 layered semiconducting compounds which could potentially serve as a channel in ultra-scaled FETs [18,19]. However, due to the practical considerations of good material availability and ambient stability as well as the desired material properties of a moderate bandgap and sizable charge carrier mobilities, most research on 2D FETs uses transition metal dichalcogenides (TMDs) as channel material. TMDs have the generalized formula MX 2 , where M is a transition metal of the groups 4–10 and X is a chalcogen. Most commonly, these materials are encountered in the metallic, trigonal 1T, and the semiconducting, hexagonal 2H phase [20], see Figure 1b. In the following, the materials are studied in their hexagonal phase with the symmetry group P-6m2 in the monolayer form. Specifically, the properties of MoS 2 , MoSe 2 , WS 2 , WSe 2 , HfS 2 , HfSe 2 , and ZrSe 2 are evaluated, when used as a channel material for 2D FETs. In addition to these TMDs, two other 2D semiconductors are of interest as channel material, due to their particularly high charge carrier mobilities, namely black phosphorus, BP [21], and Se-terminated bismuth oxychalcogenide, Bi 2 O 2 Se [22]. BP is a layered semiconductor with an orthorhombic structure with characteristic ridges in the layer, which give rise to highly anisotropic transport, with the highest carrier mobility in the direction perpendicular to the ridges. However, BP oxidizes quickly when exposed to air [23]. In contrast, Bi 2 O 2 Se is stable for many months under atmospheric conditions and is a highly symmetric tetragonal system. In addition, Bi 2 O 2 Se is a so-called “zipper” 2D material, meaning that upon cleavage, a half filled Se layer forms its surface [22].
All in all, the theoretical projections [24,25] as well as the available prototypes [26,27] indicate a considerable potential for 2D FETs. However, the numerous challenges that still need to be solved for nanoscaled complementary metal oxide semiconductor (CMOS) logic circuits, render it unclear when and if 2D FETs will be incorporated in future technology generations [28]. In this Review, we discuss the most important problems that 2D FETs are currently facing and provide an overview about previously suggested solutions and their limitations as well as pointing towards the most important future research questions. First, the FET device design for dimensions of a few nanometers and stacked sheet device geometries need to be developed (Section 2), see Figure 1c. In terms of transistor performance, the two most essential requirements are the formation of contacts to 2D semiconductors with a small contact resistance (Section 3) and the identification of scalable gate stacks, which simultaneously allow for electrically stable device operation (Section 4). Finally, the entire fabrication process has to allow for CMOS integration of p-type and n-type FETs via doping and must be compatible with wafer-scale processing (Section 5).

2. Device Scaling

For the 0.7 nm node in 2034, a physical gate length of 12 nm and a gate pitch of about 40 nm is projected in the IRDS [4]. In this context, the gate pitch describes the minimum possible distance between two adjacent devices’ gates. For these ultra-scaled designs, 2D FETs are proposed to be included as a channel in an architecture of stacked channel nanosheet FETs [13,29,30]. In fact, a first prototype of a FET using two molybdenum disulfide (MoS 2 ) flakes as stacked channels on top of each other has recently been demonstrated, even though the channel lengths amounted to about 400 nm [31]. While first experimental demonstrations have come close to or undercut a gate length of 12 nm [26,32] a gate pitch smaller than 40 nm remains elusive. This gate pitch describes the overall device dimensions, including access regions and contact lengths. The first promising prototypes of scaled devices with an overall pitch of 42 nm in a back-gated device design have recently been realized [33]. The following presents an overview of nanoscaled 2D FET prototypes and their respective shortcomings.

2.1. Ultrascaled Prototypes

Up to now, the smallest gate length ever reported for a 2D FET amounts to 0.34 nm, which was achieved by using the edge of a graphene layer as the gate electrode [32]. In this configuration, a vertical MoS 2 channel is placed on the side wall of an etched stack, where an embedded graphene layer forms the gate. In another work, an ultra-scaled prototype was realized using a metallic single-walled carbon nanotube (SWCNT) as a back gate electrode for an MoS 2 FET [34]. Figure 2a shows the top view of the FET using the SWCNT as a back gate and the MoS 2 flake as a channel, and Figure 2b shows the cross-sectional transmission electron microscopy (TEM) image. While these prototypes prove that there is no fundamental limit to the gate length ( L G ) of FETs down to 1 nm and achieve an impressive subthreshold slope of 65 mV/dec., see Figure 2c, the process is not scalable. The metallic CNT had to be characterized and manually aligned for device fabrication. In addition, it should be noted that the FETs had 100 nm long access regions ( L A ) and that the contact lengths ( L C ) and device widths (W) at about 2 μ m were high. In a similar approach, Co 2 Si nanowires were used as a top gate for monolayer MoS 2 FETs, where the overall device length ( L = L G + 2 L A ) amounted to only 60 nm, but the random location of nanowires again renders the approach not scalable [35]. Xu et al. have demonstrated device lengths of about 9 nm based on corrosion cracks formed in bismuth trioxide. This process of patterning nanogaps and short channel lengths is, in principle, scalable. However, it suffers from limited controllability of the device pitch due to the crack formation and large overlap capacitances between source and drain electrodes at the back and the top gate contact [36].

2.2. Nanoscale Transistors with Scalable Fabrication Methods

English et al. solved many of the scalability issues mentioned above in a purely top-gated design. A narrow Al stripe serves as a gate, using an Al 2 O 3 layer formed via oxidation in air as a gate insulator and self-aligned source and drain contacts, resulting in L G = 10 nm and L A = 10 nm [26]. However, in this work L C at 300 nm and W at 1 μ m are high. In contrast, the back-gated MoS 2 /SiO 2 FETs use electron beam (e-beam) lithography in combination with reactive ion etching (RIE) to define a narrow width of 65 nm and a small device length of 50 nm. In this way, nanoscaled channel areas are created, which allow for the characterization of single charge trapping events [38]. However, due to the narrow device width, defects introduced at the edges of the etched ribbons degrade device performance, and L C at over 500 nm is high. A p-type tungsten disulfide (WS 2 ) FET using SiO 2 as a gate insulator with a length of 40 nm and a width of 50 nm has been realized by sulfurization of tungsten source and drain contacts, patterned close to each other to form a continuous WS 2 film, thereby avoiding the etching process [39].
Smets et al. also used the combination of e-beam lithography and RIE to fabricate small back-gated MoS 2 /HfO 2 FETs with device lengths down to 30 nm and L C of only 13 nm, which was the first prototype coming close to the targeted pitch of 40 nm [33]. Other literature reports based on e-beam lithography often achieve small device lengths of 20 nm [40], 25 nm [41] or 40 nm [42], but fail to scale the device width and the pitch. Another method for fabricating devices with scaled gate lengths of 10 nm [43] or 50 nm [44] in a back-gated device design is to use the shadow effect of pre-deposited metal electrodes for reducing the device length. In general, it should be noted that there are only few nanoscaled devices with top-gated [26,35,36] or double-gated device design [37,42], while most demonstrations of nanoscaled 2D FETs use a back-gated layout [33,34,38,39,40,41,43,44]. This discrepancy is related to one of the main difficulties faced when fabricating ultra-scaled 2D FETs, namely, the smooth van der Waals surface of a 2D material makes nucleation of the first layer in an atomic layer deposition (ALD) process difficult. Thus, the deposition of an insulating layer on top of a 2D material, essential for top-gate or double-gate formation, is a considerable challenge for the fabrication of ultra-scaled 2D FETs.
In a recent work at IMEC, excellent gate control was achieved in a connected dual gate design for extremely scaled gate lengths down to 2 nm [37]. In this design, a few layer WS 2 channel is gated from the top and via a local back gate, where a HfO 2 layer serves as a gate dielectric, see the cross-sectional TEM in Figure 2d. Here, a local back gate is connected through a highly n-doped well with a top contact, linking it to the top gate. In the connected dual gate configuration, short channel effects are negligible down to equivalent top gate lengths of 13 nm, see Figure 2e. Even though this design shows superior electrostatics, its gate pitch exceeds several hundred nanometers. Thus, up to now, double-gated FETs with scaled device widths, contact lengths, and gate pitches have not been demonstrated.
Another issue which is critical for scaled 2D FETs is the self-heating of the channel during device operation. In ultra-scaled CMOS logic the heat dissipation of the circuit is a limiting factor for the device performance. In addition, this problem will likely be exacerbated by a potential vertical integration of 2D based circuits in the BEOL. Furthermore, self heating is much more pronounced in 2D based FETs compared to silicon based FETs [45] and the thermal boundary conductance of the SiO 2 -Si interface is an order of magnitude higher than that of the SiO 2 -MoS 2 interface [46]. These considerations indicate that self-heating will likely be a critical problem for nanoscaled 2D CMOS circuits.
In general, the main difficulties in the fabrication of ultra-scaled 2D FETs are the combination of sophisticated lithography methods, such as extreme ultraviolet lithography on an industrial scale or well calibrated electron-beam lithography in a university lab setting, with the challenges arising from the introduction of a novel material in the process flow. These challenges are mainly the formation of low-resistive contacts with small dimensions and the identification of an appropriate gate stack which forms a high-quality interface and allows for top-gate integration. In addition, good doping schemes are needed, allowing to process n-type and p-type FETs next to each other, enabling CMOS integration. These questions are addressed in the following sections.

3. Contact Engineering

In the IRDS the limit for the total parasitic series resistance ( R SD ) is 221 Ω μ m at the 0.7 nm node [4]. This parasitic series resistance consists of the contact resistance ( R C ) and the access resistance ( R A ), resulting in R SD = 2 R C + 2 R A , with contributions from source and drain. Therefore, even in the most optimistic case of negligible access resistances, the contact resistance must stay below 111 Ω μ m to meet the IRDS target values.

3.1. Impact of Schottky Barriers

One fundamental challenge for achieving small contact resistances to 2D semiconductors is the formation of Schottky barriers (SBs) at the metal to semiconductor interface. While in silicon technology Schottky contacts are generally avoided by using highly doped n or p contact regions which form Ohmic contacts with silicides, Schottky contacts are prevalent in 2D FETs [47]. This prevalence is mostly caused by the overall lack of stable doping schemes for 2D materials [48], as well as by the pronounced Fermi level pinning at the metal to semiconductor interface [49,50].
In order to decrease the contact resistance, the SB has to be reduced. In principle, small work function metals such as scandium should lead to small SBs when contacting n-type FETs based on MoS 2 [51]. However, due to the strong Fermi level pinning resulting from an abundance of defects at the metal/semiconductor interface, the metal work function has only a small impact, creating moderate SBs. It should be noted that the direct evaporation of metal contacts on top of 2D semiconductors damages the 2D monolayer, which strongly contributes to Fermi level pinning. In fact, covalent bonds between the metal contact and the topmost semiconductor layer form [52]. Therefore, the pinning can be reduced by using transferred contacts [53], even though R C of the transferred contacts is high at 4000 Ω μ m.
Another possible strategy for Fermi level depinning is based on inserting an ultra-thin insulating layer between the metal and the 2D semiconductor, as was demonstrated for hexagonal boron nitride (hBN) interlayers [54,55] or for molybdenum disulfide (MoSe 2 ) interlayers [56]. Similarly, thin interlayers of In [57] or Se [58] in between the TMD monolayer and the Au metal contacts can lead to atomically sharp van der Waals contacts, even though the specific roles of the In and Se interlayers in this context are different. The In interlayer will directly form the contact with the TMD, while the Au layer will stabilize the 10 nm In layer and prevent its reactions with the environment [57]. The Se interlayer, on the other hand, will evaporate during the post-annealing process. The Se interlayer prevents any damage to the TMD during the Au evaporation, and the contact is then formed directly by the Au layer [58]. Alternatively, Fermi level pinning is strongly reduced for direct 2D/2D contacts formed for example between the semi-metal graphene and 2D semiconductors like MoS 2 [59] or tungsten diselenide (WSe 2 ) [60]. In the same manner, the phase engineered metallic 1T phase of a TMD like MoS 2 can be used to contact the respective semiconducting 2H phase at a low contact resistance [61]. Another approach aims to lower the contact resistance by narrowing the SB width using local doping. For example, surface charge transfer doping (SCTD) (see Section 5.1) has been used to locally p-dope WSe 2 [62] as well as to n-dope MoS 2 [63].

3.2. Contact Resistances

The smallest contact resistances to 2D semiconductors up to date have recently been reported for semimetallic bismuth contacts to TMDs [27]. Bismuth can suppress metal-induced gap states, thereby creating Ohmic contacts and a small R C of 123 Ω μ m when contacting monolayer MoS 2 , see Figure 3a. This small contact resistance comes close to the upper acceptable limit according to the IRDS of 111 Ω μ m and is orders of magnitude below most other reports for contacts to monolayer and few layer MoS 2 , see Figure 3b.
However, in recent works which aimed to reproduce the small R C by Shen et al. [27] for Bi contacts, the obtained contact resistances were considerably higher at 500 Ω μ m [65] or even 2.5   k Ω μ m [30]. Instead, competitively small contact resistances and a higher thermal stability were found for antimony (Sb) contacts with a contact resistance of 659 Ω μ m [65] or down to 146 Ω μ m [30]. Thus, while two promising candidates for Ohmic contacts to n-type semiconductors have been identified with Bi and Sb, there are yet few demonstrations of low-resistive contacts to p-type devices. Recently, good results have been achieved for nitric oxide doped WSe 2 FETs with a resistance of 950 Ω μ m [66] or Ru contacts to WSe 2 FETs with a resistance of 2.7   k Ω μ m [30].

3.3. Nanoscale Contact Lengths

In addition, Shen et al. used in their study top contacts with a contact length ( L C ) of 1 μ m [27]. However, for nanoscaled CMOS logic, nanoscaled contacts with L C = 18 nm are required according to the 0.7 nm node specifications, given by the critical dimensions of the source and drain contacts [4]. As L C is reduced, the contact resistance increases due to current crowding, which can, according to the transmission line model [67], be described by
R C = ρ C R SH coth L C / L T
with the specific contact resistivity ρ C , the channel sheet resistance R SH , and the transfer length L T = ρ C / R SH . As a small contact resistance requires L C L T , the transfer length should be smaller than 10 nm. Also, in this respect, the Bismuth contacts reported by Shen et al. show promise with a transfer length of 8 nm [27], which is orders of magnitude smaller than previous reports at 40 nm [64] and 145 nm [63]. In a double logarithmic graph of R C as a function of L C , shown in Figure 3c, R C bends where L C = L T . This shows that the contact resistances of the Bi/MoS 2 contacts could be reduced for a smaller R SH , hence if the layer quality was improved.

4. Gate Stack Design

In order to ensure high performance and reliable operation of 2D FETs, insulating materials which are suitable for the gate stack have to meet numerous requirements. These requirements are categorized into three main areas, good scalability, minimization of insulator-related charge traps and a suitable deposition technology. For nanoscaled devices, a good gate control and a small scale length ( λ ) are essential which can only be achieved by reducing the equivalent oxide thickness (EOT), given by EOT = t ins ε SiO 2 / ε ins . In the IRDS, the EOT targets are specified based on the reported capacitive equivalent thickness (CET) of 0.9 nm, which corresponds to an EOT of about 0.6 nm [4]. At the same time, this EOT reduction makes it more difficult to maintain small gate leakage currents through the gate insulator, as required for small off-state currents and a low power consumption. For low-power applications, the gate leakage current density should be below 10−2 A cm−2 at an applied gate voltage of up to 0.65 V, as defined by the supply voltage ( V DD ) [4,68].

4.1. Scalable Gate Insulators

Up to now, there have been only few 2D FET prototypes based on a gate stack with an EOT smaller than 4 nm, out of which several are based on the commonly used amorphous insulator hafnium dioxide (HfO 2 ), either as a global back gate for tungsten disulfide (WS 2 ) ( EOT = 0.7 nm) [42], or in a top-gate layout where HfO 2 was deposited with atomic layer deposition (ALD) on MoS 2 and WSe 2 monolayers ( EOT = 1 nm) [40]. In addition, the potential of several novel insulators has been explored, including for example crystalline calcium fluoride (CaF 2 ) layers serving as a back gate for MoS 2 ( EOT = 1.2 nm) [69] or the layered native oxide bismuth selenide (Bi 2 O 5 Se) to the 2D semiconductor Bi 2 O 2 Se ( EOT = 0.9 nm) [70]. Also, an amorphous antimony trioxide film on MoS 2 ( EOT = 1.6 nm) has been suggested as a scalable gate insulator [71] and the perovskite strontium titanium oxide (SrTiO 3 ) was used as a gate insulator for MoS 2 FETs ( EOT = 1 nm) [41,72]. Unfortunately, the layered, crystalline insulator hexagonal boron nitride (hBN), which is widely considered to be a promising gate insulator for 2D FETs [73,74], is not scalable down to an EOT below 1 nm. Even in the best case scenario, where the impact of charge traps on the gate leakage current via a trap-assisted-tunneling mechanism is neglected, the gate leakage current exceeds the low-power limit for gate voltages above 0.5 V, see the calculated current densities in Figure 4a [75].

4.2. Minimization of Insulator-Related Charge Traps

In addition to a good scalability of the gate stack, insulator-related charge traps, including both interface traps as well as border traps within the insulator have to be minimized. Based on the subthreshold slope (SS) which is usually evaluated for 2D FETs, the interface trap density for monolayer MoS 2 FETs can be calculated, even though it should be noted that also fast insulator border traps can contribute to the SS. This results in an interface trap density ( D it ) of about 10−13 cm−2eV−1 at the interface of the amorphous oxides aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ) or HfO 2 and a considerably smaller interface trap density of 10−11 cm−2eV−1 for hBN/MoS 2 FETs [76]. These estimates are in good agreement with the interface trap densities extracted for the interface of MoS 2 with amorphous oxides using capacitance-voltage measurements [78] and low frequency noise measurements for hBN/MoS 2 FETs [79]. These small interface trap densities are inherently related to the clean van der Waals interface formed between hBN and layered semiconductors [80]. In Figure 4b the van der Waals interface formed between the layered material Bi 2 O 2 Se and its native oxide Bi 2 O 5 Se is shown in a HAADF TEM image, which indicates a better quality than the interface between transferred thin SrTiO 3 on top of few-layers of MoS 2 , see Figure 4c. This indicates a small density of interface traps at the Bi 2 O 5 Se/Bi 2 O 2 Se interface, likely comparable to the small interface trap density observed for hBN/MoS 2 interface. Furthermore, the insulating environment of the 2D material has a pronounced impact on the mobility of the 2D semiconductor via charged impurity scattering [81] as well as surface optical phonon scattering [82]. In this context, an hBN encapsulation provides the highest mobility for 2D semiconductors according to the current state of knowledge [75,82].
Charge trapping events at border traps in the gate insulator are the root cause for various stability and reliability concerns [83], including low-frequency noise [84], the Bias Temperature Instability (BTI) [85], and the hysteresis in the transfer characteristics [86]. In general, the energetic trap levels of insulator traps form defect bands and their charging time constants span a wide range from nanoseconds up to years [87]. Furthermore, border traps are characterized by a strong gate bias dependence of these charging time constants, as the defect bands are bent by an applied gate voltage [88]. In order to improve the electrical stability of 2D FETs, the probability for charge trapping can be considerably reduced if the defect bands in the gate insulator are energetically far away from the conduction and valence band edges of the 2D semiconductor [77]. For example, n-type WS 2 /HfO 2 FETs are expected to be electrically unstable due to frequent charge trapping events in the electron trapping bands, whereas the stability is likely considerably improved for p-type WS 2 /HfO 2 FETs due to the increased energy barrier for charge trapping, see Figure 4d. By selecting a suitable combination of 2D semiconductor and gate insulator or by tuning this respective alignment with fixed charges at the interface [89] or electric dipoles within the gate stack [87], the number of electrically active charge traps can be minimized. An overview over the band alignment of various 2D semiconductors to the three commonly used amorphous oxides is shown in Figure 4e.

4.3. Insulator Deposition Technology

Beyond the concerns for the reduction of charge traps, industrial integration of 2D FETs requires a top-gated device design [28]. Thus, suitable gate insulators have to be deposited uniformly on top of 2D semiconductors. One of the most promising deposition technologies for top-gate integration is ALD, even though the inert basal planes of 2D semiconductors inhibit direct nucleation of the gaseous precursors, which renders the formation of high-quality layers challenging [90]. This problem can be solved either by activating the surface using an, e.g., O 2 plasma, even though it was demonstrated that this severely damages the topmost 2D layer [91] or by using a buffer or seeding layer on top of the 2D material [92], where self-assembled polymer monolayers like perylene-3,4,9,10-tetracarboxylic dianhydride (PTCDA) have led to good results [40]. Alternatively, insulators can also be transferred on top of 2D semiconductors [93]. However, while this approach is routinely used for the fabrication of prototypes in university cleanrooms [41,72], its scalability remains questionable. Finally, the in-situ transformation of a layered semiconductor into its native oxide [70], or the direct evaporation of an amorphous insulator on top of a 2D semiconductor [71], are promising routes for top-gate integration.

5. CMOS Process Integration

In addition to the aforementioned challenges, successful CMOS integration of 2D materials requires the realization of n-type and p-type FETs next to each other. This, in turn, is linked to suitable stable doping schemes for 2D monolayers, compatible 2D semiconductors for p-type and n-type operation, and the possibility to tune the threshold voltage ( V th ) for example by using the metal gate work function.

5.1. Doping 2D Semiconductors

In general, substitutional doping in 2D materials has been realized by replacing both the cationic components (e.g., replace Mo with Nb in MoS 2 ) [94] and the anionic components (e.g., replace S with N in WS 2 ) [66,95] of TMDs [48]. However, substitutional doping schemes in 2D materials typically lead to severe lattice defects in the 2D layer and poor dopant activation despite structural incorporation of dopants [28]. In contrast, a more effective doping scheme is surface charge transfer doping (SCTD) [62,63], where interfacial charges determine the doping of the 2D semiconductor. These interfacial charges are introduced either via a sub-stoichiometric oxide layer [63,96] or oxygen plasma treatment [97]. Nevertheless, these additional interfacial charges also tend to degrade the mobility and subthreshold slope of the FETs as well as their electrical stability, in particular for monolayer FETs [62]. In fact, also for SCTD, charged defects are introduced directly at the surface of the 2D channel, defects that lead to pronounced charge impurity scattering at the ionized dopants.
This problem can be addressed with the concept of modulation doping, where the charge dopants are spatially separated from the conduction channel. Recently, this concept of remote modulation doping for 2D TMD channels has been theoretically proposed [98] and experimentally demonstrated [99,100]. In these works, a MoS 2 channel is separated from the molecular dopants using a few layers of hBN as a tunnel barrier, effectively suppressing charged impurity scattering and achieving a sizable mobility enhancement [99]. The main disadvantage of this doping concept is that the additional tunnel barrier and doping layer increases the EOT of the gate stack, thereby reducing the gate control. Thus, which doping method is best suited for ultra-scaled 2D devices is currently unclear.

5.2. 2D CMOS Inverters

Integrated CMOS circuits require n-type and p-type FETs to be processed in the direct vicinity of each other. The most straightforward way of testing this possibility for very-large scale integration (VLSI) is to fabricate CMOS inverters using the previously discussed doping schemes. At the same time, CMOS inverters are important benchmark circuits for the performance, variability, and electrical stability of digital logic [101]. Up to now, CMOS inverters based on 2D materials have often combined different TMDs such as MoS 2 for the n-type FET and WSe 2 for the p-type FET, even though this method makes the integration of n- and p-type FETs in close vicinity as well as the tuning of V th difficult [102,103]. As an alternative, one 2D semiconductor has been doped either substitutionally [104], electrostatically with an additional gate [105,106] or by selecting different metal contacts for n- and p-type [106,107]. For example, black phosphorous (BP) FETs have been fabricated with Cr/Au contacts for the p-type FET and Ti contacts for the n-type FET, see Figure 5a. Recently, van der Waals contacts from the back side have been formed to create n-type WSe 2 FETs with In contacts and p-type WSe 2 FETs with Pt contacts [108]. In this way, voltage gains of up to 198 for V DD = 4.5 V [108], 80 for V DD = 2 V [103] and up to 20 for V DD = 0.4 V [106] have been achieved, respectively. The gains on BP inverters at V DD = 0.4 V and 0.6 V, see Figure 5b,c, are compatible with a scaled V DD in scaled technology nodes at V DD 0.65 V [4].

5.3. Wafer-Scale Industrial Processing

Additionally, successful CMOS integration requires processing steps which allow for a high yield, high process uniformity, high reproducibility and low variability on a large scale in an industrial process flow. Recently, considerable progress has been made in this regard in the research departments of semiconductor industry, e.g., at Intel [110], TSMC [111] and IMEC [112]. Processes for the deposition of 2D materials using metal-organic chemical vapor deposition (MOCVD) have been developed on 300 mm wafers for MoS 2 as a channel material for n-type FETs and WSe 2 as a channel material for p-type FETs [30,113] and WS 2 exhibiting ambipolar behavior where the polarity is determined by the back gate [37,109], see Figure 5d. As an example of a 300 mm wafer-scale process flow for 2D semiconductor-based FETs, the fab integration route of WS 2 FETs, as developed by imec [109,112], is described in the following. In the first step, the WS 2 layer is directly grown on the back gate stack consisting of HfO 2 and SiO 2 using an MOCVD growth process. A high-temperature MOCVD growth at 750 °C or 950 °C provides a better layer quality than a low-temperature ALD growth process, with larger grain sizes and a higher degree of crystallinity. Nevertheless, the layer quality limits the effective electron mobility to about 0.5 cm2/Vs, which is considerably worse than that in exfoliated flakes [112]. As a next step, a Si seed deposited with a molecular beam serves as a nucleation site for the ALD growth of the HfO 2 top gate oxide. This weak adhesion between the layered WS 2 and the surrounding oxides is a critical problem for conventional patterning steps based on a SiO 2 hard mask. Instead, a spin-on process for a soft etch mask was developed to reduce the mechanical stress on the layered WS 2 in order to avoid local delamination [114]. In the next step, a damascene side contact etch is performed to form Ti side contacts at source and drain. Currently, side contacts are the only possible contact geometry, as no selective etching process is available, which would stop etching at the thin WS 2 layer [109]. Next, a damascene top gate process is used to create the top gate contact, and in the last step, vias are formed, leading to the final device geometry [114], shown in the TEM cross section in Figure 5d.
In addition, small contact resistances have been achieved for semimetallic antimony (Sb) contacts for n-type MoS 2 FETs [65,115] and ruthenium (Ru) contacts for p-type WSe 2 FETs [30], aiming for a Schottky depinning by a reduction of metal induced gap states [27]. Nevertheless, at the moment in particular the contact resistance for p-type TMD FETs is yet one order of magnitude too high to be competitive to Si-technology [30,115]. Furthermore, a transfer scheme for MOCVD grown TMD films has been developed using a polymer on glass [109] as shown in detail in Figure 5e. Alternatively, weakly bonded Bi has been used as a contact layer for the second sacrificial transfer wafer [111]. A key advantage of such a transfer step is that the best quality TMDs are typically grown at high temperatures above 900 °C, while an integration at the back-end of the line (BEOL) only allows for a thermal budget of up to 400 °C. Therefore, a transfer step is required for BEOL integration of TMD films and the first demonstrations show promise even though homogeneity and success rate over the 300 mm wafer yet needs to be improved [109,111], see Figure 5e.

6. Conclusions

In recent years, there has been tremendous progress in the area of 2D FETs. For example, double-gated WS 2 FETs with 2 nm gate length have been fabricated on 300 mm wafers [37], record-low contact resistances have been achieved with Bi contacts to MoS 2 [27], and a variety of gate insulators which form a van der Waals interface with 2D materials have been discovered. At the same time, the challenges which need to be addressed for the integration of 2D materials at the FEOL in order to extend the scaling regime to gate lengths of below 12 nm are numerous. First of all, stacked 2D FETs with scaled channel lengths, widths, contact lengths, and gate pitches are currently far from being realized. Stacked designs require either a reduction of the MOCVD growth temperature for high quality 2D films or a homogeneous transfer process which can deliver the necessary yield on an industrial scale [109]. In addition, despite low contact resistances having been achieved for n-type MoS 2 FETs, contact resistances for p-type FETs still exceed the target value by one order of magnitude [66] and scaled contact lengths are challenging. Finally, one of the major obstacles for 2D CMOS is the identification of a suitable gate insulator. In fact, a semiconductor/insulator system has to be identified with a clean van der Waals interface which provides small remote charge carrier scattering and thus high mobilities in the semiconductor and at the same time good scalability and few electrically active border traps in the insulator [76]. Despite the proposal of concepts like Fermi-level tuning to enhance the electrical stability when using amorphous oxides, it remains unclear whether amorphous oxides in combination with 2D semiconductors will ever be able to meet the requirements in terms of variability and reliability. More promising insulator/semiconductor systems, like Bi 2 O 5 Se/Bi 2 O 2 Se [70], CaF 2 /MoS 2 [69], or SrTiO 3 /MoS 2 [72] have been suggested, but for all of these systems, there is a wealth of questions unanswered so far.
Henceforth, the future role 2D materials might play for nanoscaled CMOS logic remains unclear. Their commercial success and adaptation will likely depend on whether, over the next decade, a “killer application” will be found that acts as the first enabler of commercial microchips, including 2D materials. Most likely, only the demonstration of one successful and profitable use case will help to attract the large volume of research funding required to tackle all the remaining challenges outlined above. These solutions will pave the way for many other application scenarios and eventually 2D materials as channels for ultra-scaled FETs at the FEOL. Such possible “killer applications” for 2D materials could, for example, be the monolithic 3D integration of 2D-based devices in the BEOL or flexible electronics based on 2D materials. In any case, we believe that in view of the short history of 2D transistors, the lab-to-fab transition of 2D materials is just beginning. Over the course of the next two decades, we will likely reach a stage where 2D materials can bring measurable benefits to our society.

Author Contributions

Conceptualization, T.K., S.S. and T.G.; writing—original draft preparation, T.K.; writing—review and editing, S.S. and T.G. All authors have read and agreed to the published version of the manuscript.

Funding

The authors thank for the financial support through the Austrian Science Fund (FWF), grants I2606-N30, I4123-N30 and I5296-N.

Data Availability Statement

Not applicable.

Acknowledgments

Inspiring discussions with J. Michl, C. Schleich, K. Tselios, D. Waldhör, M. Waltl (TU Wien), M.C. Lemme, D. Neumaier, B. Uzlu, Z. Wang (AMO), F. Ducry, M. Luisier (ETH Zürich), and F. Schwierz (TU Illmenau) are gratefully acknowledged.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
2DTwo Dimensional
ALDAtomic Layer Deposition
BEOL  Back End of Line
BTIBias Temperature Instability
CETCapacitive Equivalent Thickness
CMOSComplementary Metal Oxide Semiconductor
EOTEquivalent Oxide Thickness
FEOLFront End of Line
FETField Effect Transistor
HAADFHigh Angle Annular Dark Field
IRDSInternational Roadmap for Devices and Systems
MOCVDMetal Organic Chemical Vapor Deposition
PTCDAPerylene Tetracarboxylic Dianhydrid
RIEReactive Ion Etching
SCTDSurface Charge Transfer Doping
SWCNTSingle Walled Carbon Nano Tube
TEMTransmission Electron Microscopy
TLMTransfer Length Measurement
TMDTransition Metal Dichalcogenide

References

  1. Uchida, K.; Watanabe, H.; Koga, J.; Kinoshita, A.; Takagi, S. Experimental Study on Carrier Transport Mechanism in Ultrathin-Body SOI n- and p-MOSFETs with SOI Thickness less than 5 nm. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 8–11 December 2002; pp. 47–50. [Google Scholar] [CrossRef]
  2. Akinwande, D.; Huyghebaert, C.; Wang, C.H.; Serna, M.; Goossens, S.; Li, L.J.; Wong, H.S.; Koppens, F.H.L. Graphene and Two-Dimensional Materials for Silicon Technology. Nature 2019, 573, 507–518. [Google Scholar] [CrossRef] [PubMed]
  3. Lemme, M.C.; Akinwande, D.; Huyghebaert, C.; Stampfer, C. 2D Materials for Future Heterogeneous Electronics. Nat. Commun. 2022, 13, 1392. [Google Scholar] [CrossRef] [PubMed]
  4. IEEE. IRDS More Moore; Technical Report; IEEE: Piscataway, NJ, USA, 2022. [Google Scholar]
  5. Goossens, S.; Navickaite, G.; Monasterio, C.; Gupta, S.; Piqueras, J.J.; Pérez, R.; Burwell, G.; Nikitskiy, I.; Lasanta, T.; Galán, T.; et al. Broadband Image Sensor Array Based on Graphene-CMOS Integration. Nat. Photonics 2017, 11, 366–371. [Google Scholar] [CrossRef] [Green Version]
  6. Koperski, M.; Nogajewski, K.; Arora, A.; Cherkez, V.; Mallet, P.; Veuillen, J.Y.; Marcus, J.; Kossacki, P.; Potemski, M. Single photon emitters in exfoliated WSe2 structures. Nat. Nanotechnol. 2015, 10, 503–506. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  7. Mak, K.F.; Shan, J. Photonics and Optoelectronics of 2D Semiconductor Transition Metal Dichalcogenides. Nat. Photonics 2016, 10, 216–226. [Google Scholar] [CrossRef]
  8. Li, D.; Wu, B.; Zhu, X.; Wang, J.; Ryu, B.; Lu, W.D.; Lu, W.; Liang, X. MoS2 Memristors Exhibiting Variable Switching Characteristics toward Biorealistic Synaptic Emulation. ACS Nano 2018, 12, 9240–9252. [Google Scholar] [CrossRef]
  9. Sangwan, V.K.; Hersam, M.C. Neuromorphic Nanoelectronic Materials. Nat. Nanotechnol. 2020, 15, 517–528. [Google Scholar] [CrossRef]
  10. Late, D.J.; Huang, Y.K.; Liu, B.; Acharya, J.; Shirodkar, S.N.; Luo, J.; Yan, A.; Charles, D.; Waghmare, U.V.; Dravid, V.P.; et al. Sensing behavior of atomically thin-layered MoS2 transistors. ACS Nano 2013, 7, 4879–4891. [Google Scholar] [CrossRef]
  11. Sarkar, D.; Liu, W.; Xie, X.; Anselmo, A.C.; Mitragotri, S.; Banerjee, K. MoS2 field-effect transistor for next-generation label-free biosensors. ACS Nano 2014, 8, 3992–4003. [Google Scholar] [CrossRef]
  12. Liu, Y.; Huang, Y.; Duan, X. Van der Waals Integration Before and Beyond Two-Dimensional Materials. Nature 2019, 567, 323–333. [Google Scholar] [CrossRef]
  13. Liu, Y.; Duan, X.; Shin, H.J.; Park, S.; Huang, Y.; Duan, X. Promises and Prospects of Two-Dimensional Transistors. Nature 2021, 591, 43–53. [Google Scholar] [CrossRef] [PubMed]
  14. Iannaccone, G.; Bonaccorso, F.; Colombo, L.; Fiori, G. Quantum Engineering of Transistors Based on 2D Materials Heterostructures. Nat. Nanotechnol. 2018, 13, 183–191. [Google Scholar] [CrossRef] [PubMed]
  15. Sarkar, D.; Xie, X.; Liu, W.; Cao, W.; Kang, J.; Gong, Y.; Kraemer, S.; Ajayan, P.M.; Banerjee, K. A Subthermionic Tunnel Field-Effect Transistor With an Atomically Thin Channel. Nature 2015, 526, 91–95. [Google Scholar] [CrossRef]
  16. Qiu, C.; Liu, F.; Xu, L.; Deng, B.; Xiao, M.; Si, J.; Lin, L.; Zhang, Z.; Wang, J.; Guo, H.; et al. Dirac-Source Field-Effect Transistors as Energy-Efficient, High-Performance Electronic Switches. Science 2018, 361, 387–392. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  17. Domaretskiy, D.; Philippi, M.; Gibertini, M.; Ubrig, N.; Gutiérrez-lezama, I.; Morpurgo, A.F. Quenching the bandgap of two- dimensional semiconductors with a perpendicular electric field. Nat. Nanotechnol. 2022, 1–7. [Google Scholar] [CrossRef] [PubMed]
  18. Mounet, N.; Gibertini, M.; Schwaller, P.; Campi, D.; Merkys, A.; Marrazzo, A.; Sohier, T.; Castelli, I.E.; Cepellotti, A.; Pizzi, G.; et al. Two-Dimensional Materials from High-Throughput Computational Exfoliation of Experimentally Known Compounds. Nat. Nanotechnol. 2018, 13, 246–252. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  19. Klinkert, C.; Szabó, Á.; Stieger, C.; Campi, D.; Marzari, N.; Luisier, M. 2-D Materials for Ultrascaled Field-Effect Transistors: One Hundred Candidates under the Ab Initio Microscope. ACS Nano 2020, 14, 8605–8615. [Google Scholar] [CrossRef]
  20. Chhowalla, M.; Shin, H.S.; Eda, G.; Li, L.J.; Loh, K.P.; Zhang, H. The Chemistry of Two-Dimensional Layered Transition Metal Dichalcogenide Nanosheets. Nat. Chem. 2013, 5, 263–275. [Google Scholar] [CrossRef]
  21. Li, L.; Yu, Y.; Ye, G.J.; Ge, Q.; Ou, X.; Wu, H.; Feng, D.; Chen, X.H.; Zhang, Y. Black Phosphorus Field-Effect Transistors. Nat. Nanotechnol. 2014, 9, 372–377. [Google Scholar] [CrossRef]
  22. Wei, Q.; Li, R.; Lin, C.; Han, A.; Nie, A.; Li, Y.; Li, L.J.; Cheng, Y.; Huang, W. Quasi-Two-Dimensional Se-Terminated Bismuth Oxychalcogenide (Bi2O2Se). ACS Nano 2019, 13, 13439–13444. [Google Scholar] [CrossRef]
  23. Liu, H.; Du, Y.; Deng, Y.; Ye, P.D. Semiconducting Black Phosphorus: Synthesis, Transport Properties and Electronic Applications. Chem. Soc. Rev. 2015, 44, 2732–2743. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  24. Yoon, Y.; Ganapathi, K.; Salahuddin, S. How Good Can Monolayer MoS2 Transistors Be? Nano Lett. 2011, 11, 3768–3773. [Google Scholar] [CrossRef] [PubMed]
  25. Ahmed, Z.; Afzalian, A.; Schram, T.; Jang, D.; Verreck, D.; Smets, Q.; Schuddinck, P.; Chehab, B.; Sutar, S.; Arutchelvan, G.; et al. Introducing 2D-FETs in Device Scaling Roadmap using DTCO. In Proceedings of the International Electron Devices Meeting, IEDM, San Francisco, CA, USA, 12–18 December 2020; pp. 22.5.1–22.5.4. [Google Scholar] [CrossRef]
  26. English, C.D.; Smithe, K.K.; Xu, R.L.; Pop, E. Approaching Ballistic Transport in Monolayer MoS2 Transistors with Self-Aligned 10nm Top Gates. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 131–134. [Google Scholar] [CrossRef]
  27. Shen, P.C.; Su, C.; Lin, Y.; Chou, A.S.; Cheng, C.C.; Park, J.H.; Chiu, M.H.; Lu, A.Y.; Tang, H.L.; Tavakoli, M.M.; et al. Ultralow Contact Resistance between Semimetal and Monolayer Semiconductors. Nature 2021, 593, 211–217. [Google Scholar] [CrossRef]
  28. Das, S.; Sebastian, A.; Pop, E.; McClellan, C.J.; Franklin, A.D.; Grasser, T.; Knobloch, T.; Illarionov, Y.; Penumatcha, A.V.; Appenzeller, J.; et al. Transistors based on Two-Dimensional Materials for Future Integrated Circuits. Nat. Electron. 2021, 4, 786–799. [Google Scholar] [CrossRef]
  29. Chau, R. Process and Packaging Innovations for Moore’s Law Continuation and Beyond. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 1–6. [Google Scholar] [CrossRef]
  30. O’Brien, K.P.; Dorow, C.J.; Penumatcha, A.; Maxey, K.; Lee, S.; Naylor, C.H.; Hsiao, A.; Holybee, B.; Rogan, C.; Adams, D.; et al. Advancing 2D Monolayer CMOS Through Contact, Channel and Interface Engineering. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 146–149. [Google Scholar] [CrossRef]
  31. Zhou, R.; Appenzeller, J. Three-Dimensional Integration of Multi-Channel MoS2 Devices for High Drive Current FETs. In Proceedings of the Device Research Conference—Conference Digest, DRC 2018, Santa Barbara, CA, USA, 24–27 June 2018; pp. 1–2. [Google Scholar] [CrossRef]
  32. Wu, F.; Tian, H.; Shen, Y.; Hou, Z.; Ren, J.; Gou, G.; Sun, Y.; Yang, Y.; Ren, T.L. Vertical MoS2 transistors with sub-1-nm gate lengths. Nature 2022, 603, 259–264. [Google Scholar] [CrossRef] [PubMed]
  33. Smets, Q.; Groven, B.; Caymax, M.; Radu, I.; Arutchelvan, G.; Jussot, J.; Verreck, D.; Asselberghs, I.; Mehta, A.N.; Gaur, A.; et al. Ultra-Scaled MOCVD MoS2 MOSFETs with 42 nm Contact Pitch and 250 μAm Drain Current. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 550–553. [Google Scholar] [CrossRef]
  34. Desai, S.B.; Madhvapathy, S.R.; Sachid, A.B.; Llinas, J.P.; Wang, Q.; Ahn, G.H.; Pitner, G.; Kim, M.J.; Bokor, J.; Hu, C.; et al. MoS2 Transistors with 1-nanometer Gate Lengths. Science 2016, 354, 96–99. [Google Scholar] [CrossRef] [Green Version]
  35. Cao, W.; Liu, W.; Kang, J.; Banerjee, K. An Ultra-Short Channel Monolayer MoS2 FET Defined by the Curvature of a Thin Nanowire. IEEE Electron Device Lett. 2016, 37, 1497–1500. [Google Scholar] [CrossRef]
  36. Xu, K.; Chen, D.; Yang, F.; Wang, Z.; Yin, L.; Wang, F.; Cheng, R.; Liu, K.; Xiong, J.; Liu, Q.; et al. Sub-10 nm Nanopattern Architecture for 2D Material Field-Effect Transistors. Nano Lett. 2017, 17, 1065–1070. [Google Scholar] [CrossRef]
  37. Smets, Q.; Schram, T.; Verreck, D.; Cott, D.; Groven, B.; Ahmed, Z.; Kaczer, B.; Mitard, J.; Wu, X.; Kundu, S.; et al. Scaling of Double-Gated WS2 FETs to sub-5nm Physical Gate Length Fabricated in a 300 mm FAB. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 725–728. [Google Scholar] [CrossRef]
  38. Stampfer, B.; Zhang, F.; Illarionov, Y.Y.; Knobloch, T.; Wu, P.; Waltl, M.; Grill, A.; Appenzeller, J.; Grasser, T. Characterization of Single Defects in Ultrascaled MoS2 Field-Effect Transistors. ACS Nano 2018, 12, 5368–5375. [Google Scholar] [CrossRef]
  39. Cheng, C.C.; Chung, Y.Y.; Li, U.Y.; Lin, C.T.; Li, C.F.; Chen, J.H.; Lai, T.Y.; Li, K.S.; Shieh, J.M.; Su, S.K.; et al. First Demonstration of 40-nm Channel Length Top-Gate WS2 pFET Using Channel Area-Selective CVD Growth Directly on SiOx/Si Substrate. In Proceedings of the Symposium on VLSI, Kyoto, Japan, 9–14 June 2019; pp. T244–T245. [Google Scholar] [CrossRef]
  40. Li, W.; Zhou, J.; Cai, S.; Yu, Z.; Zhang, J.; Fang, N.; Li, T.; Wu, Y.; Chen, T.; Xie, X.; et al. Uniform and Ultrathin High-κ Gate Dielectrics for Two-dimensional Electronic Devices. Nat. Electron. 2019, 2, 563–571. [Google Scholar] [CrossRef]
  41. Huang, J.K.; Wan, Y.; Shi, J.; Zhang, J.; Wang, Z.; Wang, W.; Yang, N.; Liu, Y.; Lin, C.h.; Guan, X.; et al. High-κ Perovskite Membranes as Insulators for Two-Dimensional Transistors. Nature 2022, 605, 262–267. [Google Scholar] [CrossRef] [PubMed]
  42. Pang, C.S.; Wu, P.; Appenzeller, J.; Chen, Z. Sub-1 nm EOT WS2-FET with IDS > 600 μA/μm at VDS = 1 V and SS < 70 mV/dec at LG = 40 nm. In Proceedings of the International Electron Devices Meeting, IEDM, San Francisco, CA, USA, 12–18 December 2020; pp. 43–46. [Google Scholar] [CrossRef] [Green Version]
  43. Patel, K.A.; Grady, R.W.; Smithe, K.K.; Pop, E.; Sordan, R. Ultra-scaled MoS2 Transistors and Circuits Fabricated without Nanolithography. 2D Mater. 2020, 7, 015018. [Google Scholar] [CrossRef]
  44. Illarionov, Y.Y.; Banshchikov, A.G.; Knobloch, T.; Polyushkin, D.K.; Wachter, S.; Fedorov, V.V.; Suturin, S.M.; Stoger-Pollach, M.; Mueller, T.; Vexler, M.I.; et al. Crystalline Calcium Fluoride: A Record-Thin Insulator for Nanoscale 2D Electronics. In Proceedings of the Device Research Conference (DRC), Columbus, OH, USA, 21–24 June 2020. [Google Scholar] [CrossRef]
  45. Stieger, C.; Szabo, A.; Bunjaku, T.; Luisier, M. Ab-initio Quantum Transport Simulation of Self-Heating in Single-Layer 2-D Materials. J. Appl. Phys. 2017, 122, 045708. [Google Scholar] [CrossRef] [Green Version]
  46. Yalon, E.; McClellan, C.J.; Smithe, K.K.; Muñoz Rojo, M.; Xu, R.L.; Suryavanshi, S.V.; Gabourie, A.J.; Neumann, C.M.; Xiong, F.; Farimani, A.B.; et al. Energy Dissipation in Monolayer MoS2 Electronics. Nano Lett. 2017, 17, 3429–3433. [Google Scholar] [CrossRef] [Green Version]
  47. Schulman, D.S.; Arnold, A.J.; Das, S. Contact Engineering for 2D Materials and Devices. Chem. Soc. Rev. 2018, 47, 3037–3058. [Google Scholar] [CrossRef]
  48. Luo, P.; Zhuge, F.; Zhang, Q.; Chen, Y.; Lv, L.; Huang, Y.; Li, H.; Zhai, T. Doping Engineering and Functionalization of Two-Dimensional Metal Chalcogenides. Nanoscale Horizons 2019, 4, 26–51. [Google Scholar] [CrossRef]
  49. Gong, C.; Colombo, L.; Wallace, R.M.; Cho, K. The Unusual Mechanism of Partial Fermi Level Pinning at Metal-MoS2 Interfaces. Nano Lett. 2014, 14, 1714–1720. [Google Scholar] [CrossRef]
  50. Bampoulis, P.; Van Bremen, R.; Yao, Q.; Poelsema, B.; Zandvliet, H.J.; Sotthewes, K. Defect Dominated Charge Transport and Fermi Level Pinning in MoS2/Metal Contacts. ACS Appl. Mater. Interfaces 2017, 9, 19278–19286. [Google Scholar] [CrossRef] [Green Version]
  51. Das, S.; Chen, H.Y.Y.; Penumatcha, A.V.; Appenzeller, J. High Performance Multi-Layer MoS2 Transistors with Scandium Contacts. Nano Lett. 2012, 13, 100–105. [Google Scholar] [CrossRef]
  52. Liu, Y.; Guo, J.; Zhu, E.; Liao, L.; Lee, S.J.; Ding, M.; Shakir, I.; Gambin, V.; Huang, Y.; Duan, X. Approaching the Schottky–Mott limit in Van der Waals Metal–Semiconductor Junctions. Nature 2018, 557, 696–700. [Google Scholar] [CrossRef]
  53. Jung, Y.; Choi, M.S.; Nipane, A.; Borah, A.; Kim, B.; Zangiabadi, A.; Taniguchi, T.; Watanabe, K.; Yoo, W.J.; Hone, J.; et al. Transferred via Contacts as a Platform for Ideal Two-Dimensional Transistors. Nat. Electron. 2019, 2, 187–194. [Google Scholar] [CrossRef]
  54. Wang, J.; Yao, Q.; Huang, C.W.; Zou, X.; Liao, L.; Chen, S.; Fan, Z.; Zhang, K.; Wu, W.; Xiao, X.; et al. High Mobility MoS2 Transistor with Low Schottky Barrier Contact by Using Atomic Thick hBN as a Tunneling Layer. Adv. Mater. 2016, 28, 8302–8308. [Google Scholar] [CrossRef]
  55. Li, X.; Fan, Z.; Liu, P.; Chen, M.; Liu, X.; Jia, C.; Sun, D.; Jiang, X.; Han, Z.V.; Bouchiat, V.; et al. Gate-Controlled Reversible Rectifying Behaviour in Tunnel Contacted Atomically-Thin MoS2 Transistor. Nat. Commun. 2017, 8, 970. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  56. Andrews, K.; Bowman, A.; Rijal, U.; Chen, P.Y.; Zhou, Z. Improved Contacts and Device Performance in MoS2 Transistors Using a 2D Semiconductor Interlayer. ACS Nano 2020, 14, 6232–6241. [Google Scholar] [CrossRef]
  57. Wang, Y.; Kim, J.C.; Wu, R.J.; Martinez, J.; Song, X.; Yang, J.; Zhao, F.; Mkhoyan, A.; Jeong, H.Y.; Chhowalla, M. Van der Waals Contacts between Three-Dimensional Metals and Two-Dimensional Semiconductors. Nature 2019, 568, 70–74. [Google Scholar] [CrossRef]
  58. Kwon, G.; Choi, Y.H.; Lee, H.; Kim, H.S.; Jeong, J.; Jeong, K.; Baik, M.; Kwon, H.; Ahn, J.; Lee, E.; et al. Interaction- and Defect-free van der Waals Contacts between Metals and Two-Dimensional Semiconductors. Nat. Electron. 2022, 5, 241–247. [Google Scholar] [CrossRef]
  59. Liu, Y.; Guo, J.; Wu, Y.; Zhu, E.; Weiss, N.O.; He, Q.; Wu, H.; Cheng, H.C.; Xu, Y.; Shakir, I.; et al. Pushing the Performance Limit of Sub-100 nm Molybdenum Disulfide Transistors. Nano Lett. 2016, 16, 6337–6342. [Google Scholar] [CrossRef] [PubMed]
  60. Chuang, H.J.; Tan, X.; Ghimire, N.J.; Perera, M.M.; Chamlagain, B.; Cheng, M.M.C.; Yan, J.; Mandrus, D.; Tománek, D.; Zhou, Z. High mobility WSe2 p- and n- Field-Effect Transistors Contacted by Highly Doped Graphene for Low-Resistance Contacts. Nano Lett. 2014, 14, 3594–3601. [Google Scholar] [CrossRef] [Green Version]
  61. Kappera, R.; Voiry, D.; Yalcin, S.E.; Branch, B.; Gupta, G.; Mohite, A.D.; Chhowalla, M. Phase-Engineered Low-Resistance Contacts for Ultrathin MoS2 Transistors. Nat. Mater. 2014, 13, 1128–1134. [Google Scholar] [CrossRef]
  62. Arnold, A.J.; Schulman, D.S.; Das, S. Thickness Trends of Electron and Hole Conduction and Contact Carrier Injection in Surface Charge Transfer Doped 2D Field Effect Transistors. ACS Nano 2020, 14, 13557–13568. [Google Scholar] [CrossRef]
  63. Rai, A.; Valsaraj, A.; Movva, H.C.; Roy, A.; Ghosh, R.; Sonde, S.; Kang, S.; Chang, J.; Trivedi, T.; Dey, R.; et al. Air Stable Doping and Intrinsic Mobility Enhancement in Monolayer Molybdenum Disulfide by Amorphous Titanium Suboxide Encapsulation. Nano Lett. 2015, 15, 4329–4336. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  64. English, C.D.; Shine, G.; Dorgan, V.E.; Saraswat, K.C.; Pop, E. Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. Nano Lett. 2016, 16, 3824–3830. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  65. Chou, A.S.; Wu, T.; Cheng, C.C.; Zhan, S.S.; Ni, I.C.; Wang, S.Y.; Chang, Y.C.; Liew, S.L.; Chen, E.; Chang, W.H.; et al. Antimony Semimetal Contact with Enhanced Thermal Stability for High Performance 2D Electronics. In Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 150–153. [Google Scholar] [CrossRef]
  66. Chiang, C.C.; Lan, H.Y.; Pang, C.S.; Appenzeller, J.; Chen, Z. Air-Stable P-Doping in Record High-Performance Monolayer WSe2 Devices. IEEE Electron Device Lett. 2022, 43, 319–322. [Google Scholar] [CrossRef]
  67. Pimbley, J.M. Dual-Level Transmission Line Model for Current Flow in Metal-Semiconductor Contacts. IEEE Trans. Electron Devices 1986, 33, 1795–1800. [Google Scholar] [CrossRef]
  68. Robertson, J. High Dielectric Constant Oxides. Eur. Phys. J. Appl. Phys. 2004, 28, 265–291. [Google Scholar] [CrossRef] [Green Version]
  69. Illarionov, Y.Y.; Banshchikov, A.G.; Polyushkin, D.K.; Wachter, S.; Knobloch, T.; Thesberg, M.; Mennel, L.; Paur, M.; Stöger-Pollach, M.; Steiger-Thirsfeld, A.; et al. Ultrathin Calcium Fluoride Insulators for Two-Dimensional Field-Effect Transistors. Nat. Electron. 2019, 2, 8–13. [Google Scholar] [CrossRef]
  70. Li, T.; Tu, T.; Sun, Y.; Fu, H.; Yu, J.; Xing, L.; Wang, Z.; Wang, H.; Jia, R.; Wu, J.; et al. A Native Oxide High-κ Gate Dielectric for Two-dimensional Electronics. Nat. Electron. 2020, 3, 473–478. [Google Scholar] [CrossRef]
  71. Liu, K.; Jin, B.; Han, W.; Chen, X.; Gong, P.; Huang, L.; Zhao, Y.; Li, L.; Yang, S.; Hu, X.; et al. A Wafer-Scale Van der Waals Dielectric made from an Inorganic Molecular Crystal Film. Nat. Electron. 2021, 4, 906–913. [Google Scholar] [CrossRef]
  72. Yang, A.J.; Han, K.; Huang, K.; Ye, C.; Wen, W.; Zhu, R.; Zhu, R.; Xu, J.; Yu, T.; Gao, P.; et al. Van der Waals Integration of High-k Perovskite Oxides and Two-Dimensional Semiconductors. Nat. Electron. 2022, 5, 233–240. [Google Scholar] [CrossRef]
  73. Dean, C.R.; Young, A.F.; Meric, I.; Lee, C.; Wang, L.; Sorgenfrei, S.; Watanabe, K.; Taniguchi, T.; Kim, P.; Shepard, K.L.; et al. Boron Nitride Substrates for High-Quality Graphene Electronics. Nat. Nanotechnol. 2010, 5, 722–726. [Google Scholar] [CrossRef]
  74. Roy, T.; Tosun, M.; Kang, J.S.; Sachid, A.B.; Desai, S.B.; Hettick, M.; Hu, C.C.; Javey, A. Field-Effect Transistors Built from all Two-Dimensional Material Components. ACS Nano 2014, 8, 6259–6264. [Google Scholar] [CrossRef] [PubMed]
  75. Knobloch, T.; Illarionov, Y.Y.; Ducry, F.; Schleich, C.; Wachter, S.; Watanabe, K.; Taniguchi, T.; Mueller, T.; Waltl, M.; Lanza, M.; et al. The Performance Limits of Hexagonal Boron Nitride as an Insulator for Scaled CMOS Devices based on Two-Dimensional Materials. Nat. Electron. 2021, 4, 98–108. [Google Scholar] [CrossRef]
  76. Knobloch, T.; Illarionov, Y.Y.; Grasser, T. Finding Suitable Gate Insulators for Reliable 2D FETs. In Proceedings of the International Reliability Physics Symposium, Grapevine, TX, USA, 27–31 March 2022; pp. 2A.1-1–2A.1-10. [Google Scholar] [CrossRef]
  77. Knobloch, T.; Uzlu, B.; Illarionov, Y.Y.; Wang, Z.; Otto, M.; Filipovic, L.; Waltl, M.; Neumaier, D.; Lemme, M.C.; Grasser, T. Improving Stability in Two-Dimensional Transistors with Amorphous Gate Oxides by Fermi-Level Tuning. Nat. Electron. 2022, 5, 356–366. [Google Scholar] [CrossRef]
  78. Takenaka, M.; Ozawa, Y.; Han, J.; Takagi, S. Quantitative Evaluation of Energy Distribution of Interface Trap Density at MoS2 MOS Interfaces by the Terman Method. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 3–7 December 2016; pp. 139–142. [Google Scholar] [CrossRef]
  79. Vu, Q.A.; Fan, S.; Lee, S.H.; Joo, M.K.; Yu, W.J.; Lee, Y.H. Near-Zero Hysteresis and Near-Ideal Subthreshold Swing in HBN Encapsulated Single-Layer MoS2 Field-Effect Transistors. 2D Mater. 2018, 5, 031001. [Google Scholar] [CrossRef]
  80. Rhodes, D.; Chae, S.H.; Ribeiro-Palau, R.; Hone, J. Disorder in Van der Waals Heterostructures of 2D Materials. Nat. Mater. 2019, 18, 541–549. [Google Scholar] [CrossRef] [PubMed]
  81. Cui, X.; Lee, G.H.; Kim, Y.D.; Arefe, G.; Huang, P.Y.; Lee, C.h.; Chenet, D.A.; Zhang, X.; Wang, L.; Ye, F.; et al. Multi-Terminal Transport Measurements of MoS2 using a Van der Waals Heterostructure Device Platform. Nat. Nanotechnol. 2015, 10, 534–540. [Google Scholar] [CrossRef]
  82. Ma, N.; Jena, D. Charge Scattering and Mobility in Atomically Thin Semiconductors. Phys. Rev. X 2014, 4, 011043. [Google Scholar] [CrossRef] [Green Version]
  83. Grasser, T. Stochastic Charge Trapping in Oxides: From Random Telegraph Noise to Bias Temperature Instabilities. Microelectron. Reliab. 2012, 52, 39–70. [Google Scholar] [CrossRef]
  84. Xie, X.; Sarkar, D.; Liu, W.; Kang, J.; Marinov, O.; Jamal Deen, M.; Banerjee, K. Low-Frequency Noise in Bilayer MoS2 Transistor. ACS Nano 2014, 8, 5633–5640. [Google Scholar] [CrossRef]
  85. Yang, S.; Park, S.; Jang, S.; Kim, H.; Kwon, J.Y. Electrical Stability of Multilayer MoS2 Field-Effect Transistor under Negative Bias Stress at Various Temperatures. Phys. Status Solidi Rapid Res. Lett. 2014, 8, 714–718. [Google Scholar] [CrossRef]
  86. Bartolomeo, A.D.; Genovese, L.; Giubileo, F.; Iemmo, L.; Luongo, G.; Foller, T.; Schleberger, M. Hysteresis in the Transfer Characteristics of MoS2 Transistors. 2D Mater. 2018, 5, 015014. [Google Scholar] [CrossRef] [Green Version]
  87. Kaczer, B.; Franco, J.; Weckx, P.; Roussel, P.J.; Putcha, V.; Bury, E.; Simicic, M.; Chasin, A.; Linten, D.; Parvais, B.; et al. A Brief Overview of Gate Oxide Defect Properties and their Relation to MOSFET Instabilities and Device and Circuit Time-Dependent Variability. Microelectron. Reliab. 2018, 81, 186–194. [Google Scholar] [CrossRef]
  88. Knobloch, T.; Rzepa, G.; Illarionov, Y.Y.; Waltl, M.; Schanovsky, F.; Stampfer, B.; Furchi, M.; Mueller, T.; Grasser, T. A Physical Model for the Hysteresis in MoS2 Transistors. IEEE J. Electron Devices Soc. 2018, 6, 972–978. [Google Scholar] [CrossRef]
  89. Afanas’ev, V.V.; Delie, G.; Houssa, M.; Shlyakhov, I.; Stesmans, A.; Trepalin, V. Band Alignment at Interfaces of Two-Dimensional Materials: Internal Photoemission Analysis. J. Phys. Condens. Matter 2020, 32, 413002. [Google Scholar] [CrossRef]
  90. Kim, H.G.; Lee, H.B.R. Atomic Layer Deposition on 2D Materials. Chem. Mater. 2017, 29, 3809–3826. [Google Scholar] [CrossRef]
  91. Price, K.M.; Najmaei, S.; Ekuma, C.E.; Burke, R.A.; Dubey, M.; Franklin, A.D. Plasma-Enhanced Atomic Layer Deposition of HfO2 on Monolayer, Bilayer, and Trilayer MoS2 for the Integration of High-κ Dielectrics in Two-Dimensional Devices. ACS Appl. Nano Mater. 2019, 2, 4085–4094. [Google Scholar] [CrossRef]
  92. Sangwan, V.K.; Jariwala, D.; Filippone, S.A.; Karmel, H.J.; Johns, J.E.; Alaboson, J.M.; Marks, T.J.; Lauhon, L.J.; Hersam, M.C. Quantitatively Enhanced Reliability and Uniformity of High-κ Dielectrics on Graphene Enabled by Self-Assembled Seeding Layers. Nano Lett. 2013, 13, 1162–1167. [Google Scholar] [CrossRef] [Green Version]
  93. Frisenda, R.; Navarro-Moratalla, E.; Gant, P.; Pérez De Lara, D.; Jarillo-Herrero, P.; Gorbachev, R.V.; Castellanos-Gomez, A. Recent Progress in the Assembly of Nanodevices and Van der Waals Heterostructures by Deterministic Placement of 2D Materials. Chem. Soc. Rev. 2018, 47, 53–68. [Google Scholar] [CrossRef] [Green Version]
  94. Suh, J.; Park, T.E.; Lin, D.Y.; Fu, D.; Park, J.; Jung, H.J.; Chen, Y.; Ko, C.; Jang, C.; Sun, Y.; et al. Doping against the Native Propensity of MoS2: Degenerate Hole Doping by Cation Substitution. Nano Lett. 2014, 14, 6976–6982. [Google Scholar] [CrossRef]
  95. Tang, B.; Yu, Z.G.; Huang, L.; Chai, J.; Wong, S.L.; Deng, J.; Yang, W.; Gong, H.; Wang, S.; Ang, K.W.; et al. Direct n- to p-Type Channel Conversion in Monolayer/Few-Layer WS2 Field-Effect Transistors by Atomic Nitrogen Treatment. ACS Nano 2018, 12, 2506–2513. [Google Scholar] [CrossRef]
  96. McClellan, C.J.; Yalon, E.; Smithe, K.K.; Suryavanshi, S.V.; Pop, E. Effective n-Type Doping of Monolayer MoS2 by AlOx. In Proceedings of the 2017 75th Annual Device Research Conference (DRC), South Bend, IN, USA, 25–28 June 2017; Volume 4329, pp. 1–2. [Google Scholar] [CrossRef]
  97. Pang, C.S.; Hung, T.Y.; Khosravi, A.; Addou, R.; Wang, Q.; Kim, M.J.; Wallace, R.M.; Chen, Z. Atomically Controlled Tunable Doping in High-Performance WSe2 Devices. Adv. Electron. Mater. 2020, 6, 2–9. [Google Scholar] [CrossRef]
  98. Wang, D.; Li, X.B.; Sun, H.B. Modulation Doping: A Strategy for 2D Materials Electronics. Nano Lett. 2021, 21, 6298–6303. [Google Scholar] [CrossRef] [PubMed]
  99. Lee, D.; Lee, J.J.; Kim, Y.S.; Kim, Y.H.; Kim, J.C.; Huh, W.; Lee, J.; Park, S.; Jeong, H.Y.; Kim, Y.D.; et al. Remote Modulation Doping in Van der Waals Heterostructure Transistors. Nat. Electron. 2021, 4, 664–670. [Google Scholar] [CrossRef]
  100. Jang, J.; Kim, J.K.; Shin, J.; Kim, J.; Baek, K.Y.; Park, J.; Park, S.; Kim, Y.D.; Parkin, S.S.; Kang, K.; et al. Reduced Dopant-Induced Scattering in Remote Charge-Transfer-Doped MoS2 Field-Effect Transistors. Sci. Adv. 2022, 8, eabn3181. [Google Scholar] [CrossRef]
  101. Waltl, M.; Knobloch, T.; Tselios, K.; Filipovic, L.; Stampfer, B.; Hernandez, Y.; Waldhör, D.; Illarionov, Y.; Kaczer, B.; Grasser, T. Perspective of 2D Integrated Electronic Circuits: Scientific Pipe Dream or Disruptive Technology? Adv. Mater. 2022, 2201082. [Google Scholar] [CrossRef]
  102. Cho, S.; Kim, S.; Kim, J.H.; Zhao, J.; Seok, J.; Keum, D.H.; Baik, J.; Choe, D.h.; Chang, K.J.; Suenaga, K.; et al. Phase Patterning for Ohmic Homojunction Contact in MoTe2. Science 2015, 349, 625–628. [Google Scholar] [CrossRef]
  103. Pu, J.; Funahashi, K.; Chen, C.H.; Li, M.Y.; Li, L.J.; Takenobu, T. Highly Flexible and High-Performance Complementary Inverters of Large-Area Transition Metal Dichalcogenide Monolayers. Adv. Mater. 2016, 28, 4111–4119. [Google Scholar] [CrossRef]
  104. Tosun, M.; Chuang, S.; Fang, H.; Sachid, A.B.; Hettick, M.; Lin, Y.; Zeng, Y.; Javey, A. High-Gain Inverters Based on WSe2 Complementary Field-Effect Transistors. ACS Nano 2014, 8, 4948–4953. [Google Scholar] [CrossRef]
  105. Pang, C.S.; Chen, Z. First Demonstration of WSe2 CMOS Inverter with Modulable Noise Margin by Electrostatic Doping. In Proceedings of the 2018 76th Device Research Conference (DRC), Santa Barbara, CA, USA, 24–27 June 2018. [Google Scholar] [CrossRef]
  106. Wu, P.; Appenzeller, J. High Performance Complementary Black Phosphorus FETs and Inverter Circuits Operating at Record-Low VDD down to 0.2 V. In Proceedings of the Device Research Conference—Conference Digest, DRC 2018, Santa Barbara, CA, USA, 24–27 June 2018; pp. 2017–2018. [Google Scholar] [CrossRef]
  107. Das, S.; Dubey, M.; Roelofs, A. High Gain, Low Noise, Fully Complementary Logic Inverter Based on Bi-layer WSe2 Field Effect Transistors. Appl. Phys. Lett. 2014, 105, 083511. [Google Scholar] [CrossRef]
  108. Ngo, T.D.; Yang, Z.; Lee, M.; Ali, F.; Moon, I.; Kim, D.G.; Taniguchi, T.; Watanabe, K.; Lee, K.Y.; Yoo, W.J. Fermi-Level Pinning Free High-Performance 2D CMOS Inverter Fabricated with Van Der Waals Bottom Contacts. Adv. Electron. Mater. 2021, 7, 2001212. [Google Scholar] [CrossRef]
  109. Asselberghs, I.; Smets, Q.; Schram, T.; Groven, B.; Verreck, D.; Afzalian, A.; Arutchelvan, G.; Gaur, A.; Cott, D.; Maurice, T.; et al. Wafer-scale Integration of Double Gated WS2-Transistors in 300mm Si CMOS Fab. In Proceedings of the International Electron Devices Meeting, IEDM, San Francisco, CA, USA, 12–18 December 2020; pp. 893–896. [Google Scholar] [CrossRef]
  110. Maxey, K.; Naylor, C.H.; Brien, K.P.O.; Penumatcha, A.; Oni, A.; Mokhtarzadeh, C.; Dorow, C.J.; Rogan, C.; Holybee, B.; Tronic, T.; et al. 300 mm MOCVD 2D CMOS Materials for More (Than) Moore Scaling. In Proceedings of the Symposium on VLSI, Irvine, CA, USA, 6–8 June 2022; pp. 419–420. [Google Scholar] [CrossRef]
  111. Li, M.Y.; Hsu, C.H.; Shen, S.W.; Chou, A.S.; Lin, Y.C.; Chuu, C.P.; Yang, N.; Chou, S.A.; Huang, L.Y.; Cheng, C.C.; et al. Wafer-Scale Bi-Assisted Semi-Auto Dry Transfer and Fabrication of High-Performance Monolayer CVD WS2 Transistor. In Proceedings of the Symposium on VLSI, Irvine, CA, USA, 6–8 June 2022; Volume 6392, pp. 290–291. [Google Scholar] [CrossRef]
  112. Schram, T.; Smets, Q.; Radisic, D.; Groven, B.; Cott, D.; Thiam, A.; Li, W.; Dupuy, E.; Vandersmissen, K.; Maurice, T.; et al. High Yield and Process Uniformity for 300 mm Integrated WS2 FETs. In Proceedings of the Symposium on VLSI, Hsinchu, Taiwan, 19–22 April 2021; pp. 1–2. [Google Scholar]
  113. Dorow, C.J.; O’Brien, K.P.; Naylor, C.H.; Lee, S.; Penumatcha, A.; Hsiao, A.; Tronic, T.; Christenson, M.; Maxey, K.; Zhu, H.; et al. Advancing Monolayer 2D NMOS and PMOS Transistor Integration From Growth to van der Waals Interface Engineering for Ultimate CMOS Scaling. IEEE Trans. Electron Devices 2021, 68, 6592–6598. [Google Scholar] [CrossRef]
  114. Asselberghs, I.; Schram, T.; Smets, Q.; Groven, B.; Brems, S.; Phommahaxay, A.; Cott, D.; Dupuy, E.; Radisic, D.; De Marneffe, J.F.; et al. Scaled Transistors with 2D Materials from the 300 mm Fab. In Proceedings of the 2020 IEEE Silicon Nanoelectronics Workshop, SNW 2020, Honolulu, HI, USA, 13–14 June 2020; pp. 67–68. [Google Scholar] [CrossRef]
  115. Su, S.K.; Chen, E.; Hung, T.Y.T.; Li, M.Z.; Pitner, G.; Cheng, C.C.; Wang, H.; Cai, J.; Philip Wong, H.S.; Radu, I.P. Perspective on Low-dimensional Channel Materials for Extremely Scaled CMOS. In Proceedings of the Symposium on VLSI, Irvine, CA, USA, 6–8 June 2022; pp. 403–404. [Google Scholar] [CrossRef]
Figure 1. (a) Schematic drawing of a planar n-type silicon MOSFET. (b) Schematic layout of a large area FET with an MoS 2 channel. Here, SiO 2 is the back gate oxide with Si serving as the global back gate and the top gate oxide with a local top gate contact. This double-gated configuration provides the best gate control. In the upper right corner, the atomic structure of a monolayer of the semiconducting 2H phase of MoS 2 is shown. The black spheres represent Mo atoms and the yellow spheres S atoms. (c) Layout of a small area back-gated MoS 2 /SiO 2 FET.
Figure 1. (a) Schematic drawing of a planar n-type silicon MOSFET. (b) Schematic layout of a large area FET with an MoS 2 channel. Here, SiO 2 is the back gate oxide with Si serving as the global back gate and the top gate oxide with a local top gate contact. This double-gated configuration provides the best gate control. In the upper right corner, the atomic structure of a monolayer of the semiconducting 2H phase of MoS 2 is shown. The black spheres represent Mo atoms and the yellow spheres S atoms. (c) Layout of a small area back-gated MoS 2 /SiO 2 FET.
Nanomaterials 12 03548 g001
Figure 2. (a) False–colored scanning electron microscopy image of a MoS 2 FET with a channel length of 1 nm. The MoS 2 flake (in orange) is gated by a single walled carbon nanotube (SWCNT, in cyan), contacted by Ni source and drain electrodes (in yellow), using ZrO 2 as a gate insulator (in green). (b) Cross-sectional TEM of the MoS 2 /ZrO 2 /SWCNT transistor, where the SWCNT gate, the ZrO 2 insulator and the layered MoS 2 channel can be seen. (c) I D - V GS characteristics of the MoS 2 /ZrO 2 /SWCNT FET at a bias of V BS = 5 V, which was applied to the global silicon back gate to n-dope the access regions. A steep subthreshold slope of 65 mV/dec. is achieved. (ac) reproduced with permission from S. Desai et al., Science 354, 96–99, 2016; published by the American Association for the Advancement of Science [34]. (d) Cross-sectional TEM of a double-gated WS 2 transistor using few-layer MOCVD deposited WS 2 as a channel and ALD grown HfO 2 as a gate insulator. To the right of the dashed line the materials of the two gate stacks are listed: W-TiN-HfO 2 -Al 2 O 3 -WS 2 -SiO 2 -HfO 2 -TiN-n + Si. In the connected dual gate design, the back gate is connected via the n + well to the top gate. (e) Comparison of the I D - V GS characteristics of the HfO 2 /WS 2 /HfO 2 FET for either a top-gated, back-gated or double-gated configuration of varying top gate lengths. Short channel effects are best suppressed in the double-gated design. (d,e) reproduced with permission from Q. Smets et al., IEDM, 725–728, 2021; published by the IEEE [37].
Figure 2. (a) False–colored scanning electron microscopy image of a MoS 2 FET with a channel length of 1 nm. The MoS 2 flake (in orange) is gated by a single walled carbon nanotube (SWCNT, in cyan), contacted by Ni source and drain electrodes (in yellow), using ZrO 2 as a gate insulator (in green). (b) Cross-sectional TEM of the MoS 2 /ZrO 2 /SWCNT transistor, where the SWCNT gate, the ZrO 2 insulator and the layered MoS 2 channel can be seen. (c) I D - V GS characteristics of the MoS 2 /ZrO 2 /SWCNT FET at a bias of V BS = 5 V, which was applied to the global silicon back gate to n-dope the access regions. A steep subthreshold slope of 65 mV/dec. is achieved. (ac) reproduced with permission from S. Desai et al., Science 354, 96–99, 2016; published by the American Association for the Advancement of Science [34]. (d) Cross-sectional TEM of a double-gated WS 2 transistor using few-layer MOCVD deposited WS 2 as a channel and ALD grown HfO 2 as a gate insulator. To the right of the dashed line the materials of the two gate stacks are listed: W-TiN-HfO 2 -Al 2 O 3 -WS 2 -SiO 2 -HfO 2 -TiN-n + Si. In the connected dual gate design, the back gate is connected via the n + well to the top gate. (e) Comparison of the I D - V GS characteristics of the HfO 2 /WS 2 /HfO 2 FET for either a top-gated, back-gated or double-gated configuration of varying top gate lengths. Short channel effects are best suppressed in the double-gated design. (d,e) reproduced with permission from Q. Smets et al., IEDM, 725–728, 2021; published by the IEEE [37].
Nanomaterials 12 03548 g002
Figure 3. (a) Transfer length measurements (TLM) for Bi contacts to a monolayer of MoS 2 using a 100 nm thick SiN x gate insulator. The total resistance is measured for different channel lengths at different gate overdrive voltages, corresponding to different carrier densities, n 2 D . The intercepts of the linear regression through the measured resistances with the y-axis at L = 0 nm determine the contact resistance of 123 Ω μ m. In the inset, a false-color SEM image of the TLM structure is shown (scale bar 1 μ m). (b) Comparison of contact resistances R C reported in the literature for monolayer and multilayer MoS 2 as well as conventional semiconductors InGaAs, Si and GaN as a function of the carrier density n 2 D . A solid black line indicates the quantum limit of R C , which is determined by the quantum resistance h / 2 q 2 and the number of conducting modes per channel width, which is related to n 2 D . (a,b) reproduced with permission from P. Shen et al., Nature 593, 211–217, 2021; published by Springer Nature [27]. (c) Calculated R C as a function of the contact length L C for several combinations of the specific resistivity ρ C and the sheet resistance R SH . At the bottom left corner, the green area indicates the targeted regime [4]. In comparison, solid lines show reported values from references 1 [64], 2 [33] and 3 [27]. The Bi contacts to MoS 2 come close to the target, even though the sheet resistance of the MOCVD grown monolayer MoS 2 is too high.
Figure 3. (a) Transfer length measurements (TLM) for Bi contacts to a monolayer of MoS 2 using a 100 nm thick SiN x gate insulator. The total resistance is measured for different channel lengths at different gate overdrive voltages, corresponding to different carrier densities, n 2 D . The intercepts of the linear regression through the measured resistances with the y-axis at L = 0 nm determine the contact resistance of 123 Ω μ m. In the inset, a false-color SEM image of the TLM structure is shown (scale bar 1 μ m). (b) Comparison of contact resistances R C reported in the literature for monolayer and multilayer MoS 2 as well as conventional semiconductors InGaAs, Si and GaN as a function of the carrier density n 2 D . A solid black line indicates the quantum limit of R C , which is determined by the quantum resistance h / 2 q 2 and the number of conducting modes per channel width, which is related to n 2 D . (a,b) reproduced with permission from P. Shen et al., Nature 593, 211–217, 2021; published by Springer Nature [27]. (c) Calculated R C as a function of the contact length L C for several combinations of the specific resistivity ρ C and the sheet resistance R SH . At the bottom left corner, the green area indicates the targeted regime [4]. In comparison, solid lines show reported values from references 1 [64], 2 [33] and 3 [27]. The Bi contacts to MoS 2 come close to the target, even though the sheet resistance of the MOCVD grown monolayer MoS 2 is too high.
Nanomaterials 12 03548 g003
Figure 4. (a) Calculated gate leakage current densities through a MOS structure formed by a gold metal contact, various gate insulators, and a silicon channel. The currents are compared as a function of the applied gate voltage for a scaled EOT of 0.76 nm and were calculated based on the Tsu-Esaki model. The orange range indicates the interval of possible currents through hBN spanned by two different sets of effective masses and the dotted orange line shows the DFT+NEGF results. The leakage currents through hBN exceed the leakage currents through native oxides or ionic insulators by many orders of magnitude. Reproduced with permission from T. Knobloch et al., IRPS, 2A.1, 2022; published by the IEEE [76]. (b) Cross-sectional high-angle annular dark-field (HAADF) TEM image of the interface between the semiconductor Bi 2 O 2 Se and its native oxide Bi 2 O 5 Se, after few minutes of oxidation at 380 °C. An atomically sharp Van der Waals interface between both compounds is formed during oxidation. Reproduced with permission from T. Li et al., Nature Electronics 3, 473-478, 2020; published by Springer Nature [70]. (c) HAADF TEM image of the interface formed when transferring a thin crystalline SrTiO 3 on top of few-layers of MoS 2 , scale bar 2 nm. Reproduced from A. Yang et al., Nature Electronics 5, 233–240, 2022e [72]. (d) If the conduction band edge of the 2D semiconductor is close to a charge trapping band in the oxide, charge trapping events are frequent and the FET is electrically unstable. This is shown in the band diagram of n-type WS 2 and its alignment to the electron trapping band in HfO 2 . For p-type WS 2 , however, the FET will be more stable. (e) Band diagram of various 2D semiconductors with the three most commonly used amorphous oxides, indicating good stability, for example for black phosphorous (BP) or hafnium disulfide (HfS 2 ) FETs with HfO 2 as a gate insulator. (d,e) reproduced from T. Knobloch et al., Nature Electronics 5, 356–366, 2022 [77].
Figure 4. (a) Calculated gate leakage current densities through a MOS structure formed by a gold metal contact, various gate insulators, and a silicon channel. The currents are compared as a function of the applied gate voltage for a scaled EOT of 0.76 nm and were calculated based on the Tsu-Esaki model. The orange range indicates the interval of possible currents through hBN spanned by two different sets of effective masses and the dotted orange line shows the DFT+NEGF results. The leakage currents through hBN exceed the leakage currents through native oxides or ionic insulators by many orders of magnitude. Reproduced with permission from T. Knobloch et al., IRPS, 2A.1, 2022; published by the IEEE [76]. (b) Cross-sectional high-angle annular dark-field (HAADF) TEM image of the interface between the semiconductor Bi 2 O 2 Se and its native oxide Bi 2 O 5 Se, after few minutes of oxidation at 380 °C. An atomically sharp Van der Waals interface between both compounds is formed during oxidation. Reproduced with permission from T. Li et al., Nature Electronics 3, 473-478, 2020; published by Springer Nature [70]. (c) HAADF TEM image of the interface formed when transferring a thin crystalline SrTiO 3 on top of few-layers of MoS 2 , scale bar 2 nm. Reproduced from A. Yang et al., Nature Electronics 5, 233–240, 2022e [72]. (d) If the conduction band edge of the 2D semiconductor is close to a charge trapping band in the oxide, charge trapping events are frequent and the FET is electrically unstable. This is shown in the band diagram of n-type WS 2 and its alignment to the electron trapping band in HfO 2 . For p-type WS 2 , however, the FET will be more stable. (e) Band diagram of various 2D semiconductors with the three most commonly used amorphous oxides, indicating good stability, for example for black phosphorous (BP) or hafnium disulfide (HfS 2 ) FETs with HfO 2 as a gate insulator. (d,e) reproduced from T. Knobloch et al., Nature Electronics 5, 356–366, 2022 [77].
Nanomaterials 12 03548 g004
Figure 5. (a) False–colored SEM image of black phosphorus (BP) FETs with Cr/Au contacts for the p-type FET (top) and Ti contacts for the n-type FET (bottom). A 4 nm thick Al 2 O 3 layer serves as both the top gate (TG) and the back gate (BG) gate insulator and the two separate BGs are used to adjust the threshold voltages of p- and n-type FET. These two FETs form an inverter with the input connected to the TG and the output to the shorted Ti and Au contacts at the center. (b) Voltage transfer characteristics of the BP/Al 2 O 3 inverter with local back gates at different supply voltages V DD . (c) Gain of the BP/Al 2 O 3 inverter at different V DD , with the highest gain of 21.1 observed for V DD = 0.6 V. (ac) reproduced with permission from P. Wu et al., DRC 2018; published by the IEEE [106]. (d) TEM image of double-gated WS 2 FETs fabricated in a 300 mm pilot line, which use HfO 2 as a top gate and a HfO 2 /SiO 2 stack as a back gate oxide. The device has a short top gate length of 31 nm, a total channel length of 233 nm and trench side contacts to the few-layer WS 2 channel. Reproduced with permission from Z. Ahmed et al., IEDM 2020, published by the IEEE [25]. (e) Schematic of the transfer process for WS 2 grown on a 300 mm wafer. The MOCVD growth process is performed at a high temperature of above 900 °C, then the wafer is bonded to a glass carrier, mechanically debonded from the growth substrate before it is permanently bonded to the target wafer. On the right side, images show that the transfer can be uniform (top) and non-uniform (bottom) indicating a need for further process improvement. Reproduced with permission from I. Asselberghs et al., IEDM 2020; published by the IEEE [109].
Figure 5. (a) False–colored SEM image of black phosphorus (BP) FETs with Cr/Au contacts for the p-type FET (top) and Ti contacts for the n-type FET (bottom). A 4 nm thick Al 2 O 3 layer serves as both the top gate (TG) and the back gate (BG) gate insulator and the two separate BGs are used to adjust the threshold voltages of p- and n-type FET. These two FETs form an inverter with the input connected to the TG and the output to the shorted Ti and Au contacts at the center. (b) Voltage transfer characteristics of the BP/Al 2 O 3 inverter with local back gates at different supply voltages V DD . (c) Gain of the BP/Al 2 O 3 inverter at different V DD , with the highest gain of 21.1 observed for V DD = 0.6 V. (ac) reproduced with permission from P. Wu et al., DRC 2018; published by the IEEE [106]. (d) TEM image of double-gated WS 2 FETs fabricated in a 300 mm pilot line, which use HfO 2 as a top gate and a HfO 2 /SiO 2 stack as a back gate oxide. The device has a short top gate length of 31 nm, a total channel length of 233 nm and trench side contacts to the few-layer WS 2 channel. Reproduced with permission from Z. Ahmed et al., IEDM 2020, published by the IEEE [25]. (e) Schematic of the transfer process for WS 2 grown on a 300 mm wafer. The MOCVD growth process is performed at a high temperature of above 900 °C, then the wafer is bonded to a glass carrier, mechanically debonded from the growth substrate before it is permanently bonded to the target wafer. On the right side, images show that the transfer can be uniform (top) and non-uniform (bottom) indicating a need for further process improvement. Reproduced with permission from I. Asselberghs et al., IEDM 2020; published by the IEEE [109].
Nanomaterials 12 03548 g005
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Knobloch, T.; Selberherr, S.; Grasser, T. Challenges for Nanoscale CMOS Logic Based on Two-Dimensional Materials. Nanomaterials 2022, 12, 3548. https://doi.org/10.3390/nano12203548

AMA Style

Knobloch T, Selberherr S, Grasser T. Challenges for Nanoscale CMOS Logic Based on Two-Dimensional Materials. Nanomaterials. 2022; 12(20):3548. https://doi.org/10.3390/nano12203548

Chicago/Turabian Style

Knobloch, Theresia, Siegfried Selberherr, and Tibor Grasser. 2022. "Challenges for Nanoscale CMOS Logic Based on Two-Dimensional Materials" Nanomaterials 12, no. 20: 3548. https://doi.org/10.3390/nano12203548

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop