Next Article in Journal
Development of Virtual Sensor Based on LSTM-Autoencoder to Detect Faults in Supply Chilled Water Temperature Sensor
Previous Article in Journal
Estimating Hardness of Cosmetic Cream Using Electro-Mechanical Impedance Sensing Technique
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Design of Nanoscale Quantum Interconnects Aided by Conditional Generative Adversarial Networks

by
Amanda Teodora Preda
1,2,3,†,
Calin-Andrei Pantis-Simut
1,2,3,†,
Mihai Marciu
1,
Dragos-Victor Anghel
1,3,
Alaa Allosh
1,3,
Lucian Ion
1,
Andrei Manolescu
4 and
George Alexandru Nemnes
1,2,3,*
1
Faculty of Physics, University of Bucharest, Atomistilor 405, 077125 Magurele-Ilfov, Romania
2
Research Institute of the University of Bucharest (ICUB), 90 Panduri Street, 050663 Bucharest, Romania
3
Horia Hulubei National Institute for Physics and Nuclear Engineering, Reactorului 30, 077125 Magurele-Ilfov, Romania
4
Department of Engineering, Reykjavik University, Menntavegur 1, IS-102 Reykjavik, Iceland
*
Author to whom correspondence should be addressed.
These authors contributed equally to this work.
Appl. Sci. 2024, 14(3), 1111; https://doi.org/10.3390/app14031111
Submission received: 7 December 2023 / Revised: 18 January 2024 / Accepted: 24 January 2024 / Published: 29 January 2024
(This article belongs to the Section Nanotechnology and Applied Nanosciences)

Abstract

:
Interconnecting nanodevices with the aim of assembling quantum computing architectures is one of the current outstanding challenges. At the nanoscale, the quantum interconnects become comparable in complexity with the active devices and should be treated on equal footing. In addition, they can play an active role in the switching properties. Here, we investigate the charge localization in neuromorphic bi-dimensional systems, which serve as quantum interconnects (QIs) between quantum dot registers. We define a device structure where, by manipulating the charging of a floating gate array, one defines the QI potential map, which can host a few interacting electrons. The ground state charge density may be extracted by measuring the tunneling current perpendicular to the device surface, yielding a convoluted image of the electron distribution. Using image-to-image translation methods, we achieve the mapping of the charge density from the confinement potential, as well as by deconvoluting the tunneling current map, which can be obtained by a direct measurement. Thus, we provide a proof-of-concept for a reconfigurable device, which can be used to design quantum many-electron devices.

1. Introduction

The ability to interconnect quantum devices is essential for achieving efficient and reliable quantum computing architectures. A basic prerequisite is the utilization of quantum registers, which can be implemented as superconducting circuits containing Josephson junctions [1,2], trapped ions [3,4], quantum dots (QDs) [5,6], coupled nanowires [7,8], vibrational states of cold molecules [9,10], or endohedral fullerenes [11]. The transfer of the encoded quantum information between registers is accomplished by additional components, which are generically termed quantum interconnects (QIs). Depending on the nature of transmitted information, these can be implemented as photonic, electronic or magnetic waveguides and can be used as active switching elements as well.
From a technological perspective, a reconfigurable device with quantum interconnects could be engineered with the aid of floating gates. These are electrically isolated components, which trap the electrons and allow for the design of floating gate transistors that can store electrical charge and create non-volatile memory devices. A common approach is to embed the floating gate with metallic or semiconducting particles, such as quantum dots, which become charged through quantum tunneling. In this way, the electrostatic potential map of the active layer found below can be controlled. A lot of effort is dedicated to identifying the optimal materials for the engineering of a durable floating gate memory cell, with nanoparticles that exhibit good charge trapping characteristics and have the potential for large-scale fabrication [12]. Theoretically, these devices have been described using Bardeen’s approach, by numerically solving the Schrodinger and Poisson equations [13]. They have gained a considerable amount of interest in the research community due to high scalability, small sizes fit for the design of nanodevices, and increased stability in data retention [12]. Along with the rapid development of organic semiconductor materials, engineering organic field effect transistors with floating gates has become feasible. To this end, researchers have proposed devices based on perovskite quantum dots that work as phototransistor memory devices, in which optical radiation can be turned into tunable electrical signals to improve storage capacity [14]. Also, organic field effect transistors could bring the advantage of erasing stored data by eliminating the collected charges by using a light-controlled bias, which is achieved by a process of photoinduced charge transfer across the surface of the floating gate [15].
One recognized advantage of quantum-dot-based spin qubits is represented by the possible integration with CMOS technology [16]. For these systems, the qubit manipulation requires an in-depth description of interacting few-electron systems within the electron waveguides, which can be obtained by employing a many-body approach. Of particular interest are the neuromorphic interconnects, enabling a hardware implementation of artificial neural networks, which reduces power consumption and speeds up the computations. The emerging field of quantum neuromorphic computing has gained more and more interest, as one can see from the recent review [17] and the references therein. Moreover, quantum neural networks, which benefit from superposition and entanglement, have certain advantages with respect to their classical counterparts, e.g., faster training and expressing more functions than comparable classical models, while other potential advantages are still to be explored [18].
Interacting electron systems are rigorously investigated by the exact diagonalization (ED) method [19,20,21], where the Coulomb interaction is fully taken into account. This is in contrast to other approaches for many-body problems, like Hartree–Fock and density functional theory, where a mean-field description is provided. Whenever the class of systems to be analyzed grows very large, exhaustive high-throughput ED calculations become prohibitive. A more efficient description, which preserves the accuracy to a high degree, can be obtained by coupling machine learning (ML) techniques to existing ED calculations [22]. To this end, we recently described the charge localization in randomly shaped quantum dots using an image-to-image translation technique based on conditional generative adversarial networks (cGANs) [23].
In fact, due to their versatility and power, machine learning techniques have become suitable candidates to approach demanding computational tasks in the field of quantum systems, statistical physics and even condensed matter. For example, artificial neural networks were trained to classify quantum states [24] and solve many-body problems mapped on spin systems in one and two dimensions [25], while Deep Boltzmann machines were shown to possess high efficiency in the representation of many-body states [26]. In the realm of solid-state physics, the idea of tuning electronic devices to the optoelectronic and transport properties that are most useful is highly appealing. To this end, deep learning methods have been employed to identify the optimal point defects in 2D materials with promising applications in quantum and neuromorphic computing [27]. Artificial intelligence (AI) in the form of reinforcement learning has also been widely explored as a viable method to reveal new physics and improve established numerical and experimental methods. For example, AI models were used to simulate the design of photonic experiments with entangled states [28], discover quantum error correction strategies [29,30] and generate elementary gate sequences from a quantum algorithm, therefore making it suitable for hardware implementation [31].
In this paper, we explore multiple configurations of neuromorphic electron waveguides, taking into account a rigorous description of the many-electron states, based on the ED method. We focus on the localization of the charge within the dendritic-like structures and investigate the control of electronic states by external fields. In this context, the curvature and branching of the electron waveguides are key aspects. In connection with the high-throughput ED calculations, a cGAN method is implemented in order to achieve potential-to-charge mappings. Furthermore, the charge distribution can be extracted from the tunneling current measured in a perpendicular direction. The proposed reconfigurable device structure enables an efficient design of QIs, aided by image-to-image translation techniques.

2. Model Systems and Computational Methods

2.1. Neuromorphic Electron Waveguides

The transfer of quantum information between QD registers relies on electron waveguides. In neuromorphic systems, these take the form of dendritic-like structures, where several QDs are linked into a single output. A prototypical device is illustrated in Figure 1. The neuromorphic QI is defined on a two-dimensional active layer by using a charged top-gate array. This controls the confinement potential, where few electrons can reside, injected from the input into the output QD register. The top-gate array can be designed as a floating gate array of quantum dots, where the floating gates can be charged by tunneling using an STM-like tip. This structure ensures a high resolution for the confinement potential in the active layer. Similar structures have been used for light-erasable field-effect transistor memories [15].
The charge localization inside the QI is directly connected to the shapes of the electron waveguides, which are set by their curvatures and branching points. The many-electron states can be further influenced by in-plane electric fields, induced by modifications in the floating gate charging. In an experimental setup, the charge density can be extracted by measuring the tunneling current, using a sharp tip as in a usual scanning electron microscopy (STM) experiment. In this way, we obtain the read-out of the charge density, which can be found by deconvoluting the tunneling current map. The reconfigurable character of the device would enable real-time adjustments and, together with the supportive description of ML, allows an efficient optimization of the QI.
More specifically, the QIs are assembled on a square shaped area 2 d × 2 d , where d is half the size of the square side, using N wg = 4 input waveguides with shapes defined by the generalized logistic function, also known as the Richards curve:
f ( x ) = A + K A + L ( C + Q e B ( x M ) ) 1 / ν .
The parameters B = 6.0 / d (growth rate), C = 1 , K = d / 2 , Q = 1 / 2 , ν = 1 / 2 are fixed, setting the curvature of the waveguides, while A = 3 d / 4 , d / 4 , d / 4 , and 3 d / 4 represent the asymptotic y positions for each of the four waveguides. A large class of QI systems is generated by choosing L and M parameters. For a given QI, L = r 1 · d / 2 , with r 1 ( 2.5 , 0.5 ) is a random number. For each waveguide, we choose M = ( δ + r 2 / 2 ) · d , where r 2 ( 0 , 1 ) and δ = 0 for outer leads ( i n 1 , i n 4 ) and δ = 1 / 2 for the inner ones ( i n 2 , i n 3 ). The outcome is the waveguide structure with four incoming leads merging into one outgoing lead. The L parameter sets the y-position of the outgoing lead, while the M parameters influence the x-positions of the branching points. The width of the waveguides Δ wg is set at the same value for all leads. In order to keep the constant width of the waveguide, they were carved out of a potential block of height V b , using a circular shape with radius R 0 while moving along the path given by Equation (1) so that we obtain a uniform Δ wg = 2 R 0 . The theoretical design proposed here makes use of existing technologies like floating gate arrays and STM. We chose here a symmetric active region, but other geometries can be accommodated. Keeping the linear scales of the active area below the coherence length, the number of input terminals can be increased up to the resolution limit given by the floating gate dimensions.
The manipulation of the many-electron states can be achieved by modifying the confinement potential, driving the charge towards one of the terminals. A linear variation of the potential in the top gate array along the x- or y-directions will increase the charge localization in the selected terminals so that the electrons can further tunnel into the corresponding registers. In this context, the QIs could also behave as active switching elements.
The neuromorphic unit described here can be used to assemble complex architectures, in particular, quantum neural networks [32,33]. The output QD register can serve as an input register in the next layer. Additional gates positioned on each lead can exert control on the charge/spin that can enter or exit the QD, while the Rashba field can control the spin–momentum coupling in the active layer. Therefore, the QI units together with the system of QD registers can serve as building blocks for non-von Neumann computing architectures, which emulate the working of the human brain and have certain advantages over the CPU and GPU systems in terms of power efficiency [34].

2.2. The Exact Diagonalization Method

The many-electron states in the QI are solutions to the stationary Schrödinger equation H Ψ n = E n Ψ n , with the many-body Hamiltonian:
H = a ϵ a c a c a + 1 2 a b c d V a b c d c a c b c d c c ,
where ϵ a are the energies of the single-particle states and the V a b c d coefficients are two-center integrals corresponding to the Coulomb interaction:
V a b c d = d r d r σ z , σ z ϕ a , σ z * ( r ) ϕ b , σ z * r e 2 4 π ϵ 0 ϵ r 1 r r ϕ c , σ z ( r ) ϕ d , σ z r .
The one-particle states { ϕ a , σ z } are determined as solutions to the one-particle Hamiltonian, which includes an external confinement potential. This orthonormal set is used to form the N-particle basis, i.e., the Slater determinants { ψ k } , used to solve the interacting Hamiltonian.
The ground state of the interacting system can be written as a linear combination of Slater determinants:
Ψ 0 ( r 1 , s 1 , , r N , s N ) = k C 0 k ψ k ( r 1 , s 1 , , r N , s N ) ,
where C 0 k coefficients are determined from the eigenvalue problem corresponding to the many-body Hamiltonian defined in Equation (2). The observable quantity is the ground state charge density, which can be obtained from:
n 0 ( r ) = N s 1 s N d r 2 d r N | Ψ 0 r , s 1 , r 2 , s 2 , , r N , s N | 2 = k | C 0 k | 2 p = 1 N | ϕ i p ( k ) , ( r ) | 2 + | ϕ i p ( k ) , ( r ) | 2 ,
where ϕ i p ( k ) , ( r ) , ϕ i p ( k ) , ( r ) are the orbitals corresponding to the k-th Slater determinant.

2.3. Image-to-Image Translation Using Pix2pix

Given the computational cost of the ED calculations and the fact that the number of possible neuromorphic configurations of interest grows sharply, we implement an efficient and accurate ML approach based on cGANs, which enables a rapid estimation of the charge localization under different potential maps, which depend on the input conditions. The image-to-image translation is implemented using the pix2pix method developed by Isola et al. [35]. This is a general purpose image-to-image translation based on cGANs, which assume a generator and a discriminator network trained adversarially. As more examples are fed in, the generator improves gradually so that its prediction accuracy increases, along with the discriminator’s capacity to distinguish between calculated and generated images. The training process continues until the discriminator is no longer able to distinguish the generated images from the ground truth. The novel aspects concerning the pix2pix method are in regards to the implementation of a U-Net architecture for the generator and a PatchGAN for the discriminator, which better captures local details. The choice for the cGAN approach is motivated by the small stochasticity of the generated output, which can be even completely removed. In this way, one can provide one-to-one mappings between the available and targeted quantities, e.g., potentials and charge densities.
The cGAN architecture is specified by the generator and discriminator networks. The U-Net of the generator contains the encoder with 6 layers, using the LeakyReLU activation function, constructed for an input size of 64 × 64 × 1 (one RGB channel). The convolution parameters are p a d d i n g = s a m e and s t r i d e = 2 . For the decoder, we used the same parameters, while the activation functions are ReLU (first 5 layers) and tanh (last layer). The discriminator is a PatchGAN classifier with 5 layers, input size of 64 × 64 × 2 (image pairs), zero-padding and the strides sequence ( 2 , 2 , 2 , 1 , 1 ) . The Adam optimizer was employed with a learning rate of 10 4 and momentum parameters β 1 = 0.5 and β 2 = 0.999 .
Different measures can be considered for the prediction accuracy. The overall prediction accuracy for a test set with N test examples is determined using the R 2 coefficient of determination, adapted for image comparison:
R 2 = 1 SS res SS tot ,
with the residual and total sums of squares defined as:
SS res = i = 1 N test n 0 , i ref n 0 , i 2 2 ,
SS tot = i = 1 N test n 0 , i ref n ¯ 0 ref 2 2 ,
where { n 0 , i ref } and { n 0 , i } are the reference (calculated) and predicted charge densities, respectively. The average reference density is n ¯ 0 ref = 1 N test i = 1 N test n 0 , i ref . The subtractions in Equations (7) and (8) are performed on the grid points used in the calculation and prediction of the density maps. The R 2 characterizes the entire test set.
In addition to R 2 , we calculated the structural similarity index measure (SSIM) [36] and the L norm for reference-predicted pairs of images. SSIM is highly relevant for comparing images, giving an overall assessment of potential dissimilarities concerning shapes, contrast and luminance. On the other hand, L accounts for local variations:
L ( i ) = n 0 , i n 0 , i ref .
In the following, we shall refer to averaged values on the test set SSIM and L .
The dataset was generated by randomly varying the A, L and M parameters of the Richards curve. In this way, we obtained a set of 1000 confinement potentials, of which N train = 900 were used for training and N test = 100 for testing. We performed ED calculations for N = 1 , 2 , 3 , 4 particles and determined the ground state particle density. For each N, a cGAN model was trained for 40,000 epochs on N train potential density pairs.

2.4. Convoluting Charge Density Maps and Deconvoluting Tunneling Current Maps

In a practical application, the read-out process of the charge density map (CDM) could be achieved by measuring and deconvoluting the tunneling current map (TCM). Similar to the STM working principles, where the tunneling current depends on the local density of states of the sample, here, the extraction probability depends on the particle density distribution of the many-body ground state. Taking into account the geometry particularities of the STM tip, we expect that the TCM is a convoluted image of the CDM, i.e., a blurred and potentially deformed image of the ground state density.
Machine learning methods based on convolutional neural networks were already employed to denoise simulated STM images, and the ML approach appears to be more proficient than the conventional filters [37]. Moreover, the use of artificial intelligence with reinforcement learning was proposed for the real-time classification of the experimentally acquired images in order to distinguish between qualitative results and faulty ones without constant human supervision [38].
One of the simplest choices for the filter corresponds to a two-dimensional Gaussian with standard deviations σ x and σ y along the x- and y directions, respectively:
G ( x , y ) = 1 2 π σ x σ y exp x 2 2 σ x 2 + y 2 2 σ y 2 .
A particular case is obtained when σ x = σ y = σ , corresponding to an ideal symmetric tip. However, in realistic applications, this function is more complicated, as it depends on the tip geometry and the potential imperfections and, therefore, it is typically unknown. In the following, we shall consider convolution kernels with σ x σ y as well as the impact of noise on the TCM. This is added to the Gaussian kernel as a random field, with values extracted from a normal distribution with σ n standard deviation and zero mean. We then apply the general purpose image-to-image translation method to deconvolute the TCM and investigate the conditions and limitations for achieving meaningful predictions.

3. Results and Discussion

We investigate the charge localization in neuromorphic QIs and employ cGAN-based image-to-image translation in order to efficiently describe the tuning of many-electron states by changing the confinement potential map in the active layer. This enables the electron transfer from the input- to the output QD registers. In addition, the tunneling current map obtained in the read-out process of the many-electron density can be deconvoluted using the same cGAN-based approach. This is illustrated in the workflow diagram in Figure 2.

3.1. Predicting Charge Localization in Neuromorphic QIs

We generate two groups of systems, corresponding to narrow ( R 0 = 10 nm) and wide ( R 0 = 20 nm) leads. A typical structure is depicted in Figure 3. Starting with the case N = 1 , which also corresponds to a non-interacting system with two particles up to a scaling factor, we observe the charge accumulating in one single peak. This is located in the wider region positioned at the crossing of the incoming and the outgoing leads, where the quantum well is wider. As no Coulomb interaction is present in this case, the charge distribution is concentrated in one peak, corresponding to ϕ 0 , (equivalently ϕ 0 , ) as the kinetic energy is minimized. However, when two interacting particles are present, the ground state charge distribution is more extended towards the input leads, as the Coulomb repulsion drives the charge in regions with stronger confinement. In effect, a trade-off between the kinetic and potential energy settles the ground state density: the higher confinement increases the kinetic energy but allows a larger charge separation, reducing the Coulomb energy. In this case, higher-order orbitals ϕ i , | s are present in the Slater determinants. This trend is further enhanced for N = 3 and N = 4 , where the electronic charge becomes distributed in the entire dendritic structure. For a large number of electrons, a more even distribution is expected as we approach a mean-field description. Comparing the narrow- and wide-lead systems, we can see a larger spread in the latter, as the weaker confinement leads to a smaller kinetic energy.
The predicted charge densities are illustrated comparatively with the reference ones. The most simple case corresponds to the non-interacting case ( N = 1 ), where the rather localized charge density is well reproduced. The other extreme corresponds to a large number of particles, where the charge density is more uniformly distributed. The intermediate cases, like N = 2 and N = 3 , are more difficult to predict, as more pronounced maxima are visible in the reference data, which can be localized in the branches of the dendritic structures. This localization occurs particularly at the bending regions. For N = 4 particles, the particle density covers most of the quantum well, and it is therefore easier to predict.
In order to assess the overall accuracy, we employed the R 2 coefficient of determination for the test set. The results are indicated in Figure 4 for both narrow- and wide-lead systems. As previously discussed, the best accuracies are found for N = 1 , with R 2 > 0.95 . The worst performance is not surprisingly obtained for N = 2 , with R 2 0.75 (narrow QIs) and R 2 0.87 (wide QIs). Gradual improvements are observed for N = 3 and N = 4 . Also, the larger dispersion of the particle density in the wide-lead systems induces a better prediction accuracy compared to the narrow-lead systems. The two other metrics considered here, SSIM and L , indicate a similar behavior, consistent with R 2 . The average SSIM on the test set increases to values close to unity for the non-interacting systems, while for N 2 , the accuracy increases with the number of particles, as one can see for the wide-lead systems in particular. L shows that the maximum error, pixel-wise, indicates similar trends.

3.2. Manipulation of Many-Electron States by In-Plane Electric Fields

Next, we investigate the possibility of manipulating the localization of the charge inside the neuromorphic system. This can be achieved by slight variations in the confinement potential, which correspond to an in-plane electric field. Depending on its orientation, it drives the electron charge towards a certain terminal or groups of terminals.
The confinement potential is modified by linear contributions along the x and y-directions, with maximum perturbations Δ W ( x , y ) | x = ± d and Δ W ( x , y ) | y = ± d , respectively, with the values ± 50 meV at the edges of the active layer. The total potential energy becomes W cf ( x , y ) = W cf , 0 ( x , y ) + Δ W ( x , y ) , where W cf , 0 ( x , y ) is the confinement potential in the absence of the in-plane field.
The reference and predicted charge densities for 10 perturbing potentials uniformly spanning the interval [ 50 , 50 ] meV for both directions e x and e y for non-interacting ( N = 1 ) and interacting ( N = 2 ) systems are depicted in Figure 5.
Starting with the e x -oriented field, we observe that the initially confined charge at the location of the output terminal is gradually shifted towards the input terminals as evidenced in Figure 5a. Although, overall, the non-interacting and interacting cases seem quite similar, particularly in the case of large values Δ W , which localizes the charge densities at the left and right edges of the active layer, there are still some noticeable differences. For small Δ W , the Coulomb interaction induces a larger spread in the charge distribution for N = 2 , in contrast to the non-interacting case. Furthermore, as the in-plane field is completely reversed, we notice the accumulations at the input leads. Again, the Coulomb interaction has an important role in separating the charge patches, which does not occur for the non-interacting case. As the field is maximized, higher modes become visible in the input terminals.
When the in-plane field is oriented along the e y direction, the charge switching occurs between the outer terminals. At maximum values of the electric field, the outer input terminals are the most populated, whereas the output terminal is typically depleted if it is placed in the middle of the active region. However, in the example shown in Figure 5b, the positioning of the output terminal is in the upper half, which makes it retain a considerable amount of charge along with the uppermost input terminal. As before, for small perturbing potentials, the Coulomb interaction induces a larger dispersion.
For training and testing the model, a total of 1000 samples were generated from the previously selected 100 test samples, each subject to 10 values of the perturbing potential. From this new set, a group of 10 potential × 10 Δ W values were extracted as a test set, while the remaining 900 samples were used for training. Overall, quite good predictions were achieved for the density maps at small fields, while for larger ones, more significant deviations occurred. This is due to the more complex superposition of electronic states, as the quantum wells are significantly modified in the strong electric fields.
Furthermore, we investigated the effect of a rotating in-plane field and analyzed the switching of electronic charge between the input and output terminals. The charge maps shown in Figure 6 indicate that, for some orientations of the in-plane field, it is possible to completely focus the charge at the outer input terminals, as well as at the output terminal. In-plane electric fields mostly oriented along along the e x direction favor the splitting of the electron charge in two input leads. The switching is captured by looking at the total charge in the input and output terminal regions.
The ability to tune the amount of charge that is transferred to a particular QD register is highly important for performing computation tasks. The prototypical system described here can be further expanded to accommodate multiple output leads, while the selection can be achieved by particular orientations of the electric field, as set by the floating gate potentials.

3.3. Deconvolution of the Tunneling-Current Density Maps

For an efficient design of neuromorphic structures, one should be able to extract the charge density map as accurately as possible. Using a second STM tip, one can create a tunneling current map strongly correlated with the charge density image. The rather intricate tunneling process between the active layer and the tip can be considered, in a first approximation, as a convoluted image of the ground state density and filter associated with the tip geometry.
Assuming that the filter function is not known, we investigate how far the general purpose image-to-image translation method used to map potentials to charge densities can be also used to perform deconvolutions, i.e., a mapping from the tunneling current back to the charge density. This would be significant, as the tunneling current map represents the information directly accessible from experiment.
We investigate several scenarios with respect to the convolution filters as described in Figure 7. The ideal case corresponds to a two-dimensional Gaussian filter with equal standard deviations σ x = σ y = σ . Starting with a small value σ = 1 , we have only a slight change in the TCM compared to the reference CDM. As a result, we can see that the prediction matches the original very well. Increasing the blurring effect, by using a larger standard deviation σ = 3 , we have an enhanced dispersion of the maxima in the input TCM. However, the image translation method provides a similar accuracy, as the kernel is symmetric. Asymmetric kernels, with the larger standard deviation on either the x-direction ( σ x = 3 , σ y = 1 ) or on the y-direction ( σ x = 1 , σ y ), do not induce a visible degradation of the prediction accuracy. The Gaussian kernel is thus easily recognizable by the cGAN approach. Instead, the noise has a more significant impact. A symmetric kernel ( σ x = σ y = 1 ), which, in addition, has a noise component with σ n = 0.1 , transforms the CDM into a rather different map. In this case, although, overall, the predicted image well resembles the reference, the prediction accuracy is visibly lower as it is seen by comparing the prominent maxima located at the branching area. A similar result is obtained for an asymmetric kernel ( σ x = 3 , σ y = 1 ), which further confirms the influence of the noise on the prediction accuracy. This single example, however, cannot conclusively establish the relative importance of the two factors.
In order to obtain a quantitative statistical image on the performance of the image-to-image translation method, the R 2 coefficient of determination is calculated on a test set of 100 samples, generated per each set of conditions. Figure 8 evidences the main conclusions drawn from the results obtained for the selected test structure discussed before. For symmetric as well as asymmetric Gaussian kernels with no noise, R 2 coefficients of ∼0.9 can be achieved. As the noise is switched on, significantly lower values are obtained: for the symmetric case, R 2 0.72 , while for the asymmetric case, R 2 0.57 . This statistical evaluation of the prediction accuracy shows that indeed both factors, kernel asymmetry and noise, impact the prediction accuracy.

4. Conclusions

Neuromorphic device structures were investigated using a many-body description coupled with a cGAN image-to-image translation approach. We propose a reconfigurable device, which is both suitable to optimize the design process of neuromorphic systems and, also, to function as reprogrammable multi-terminal systems for charge and, potentially, spin transfer between quantum dot registers. The proposed device architecture can provide real-time solutions to intricate many-body problems, and it can be constructed using existing technologies. The confinement potential in the active layer is adjusted using a charged floating gate array, while the charging process and the read-out of the electron density can be performed using STM-like tips. The design accuracy may be influenced by the finite resolution of the gate array. The many-electron ground states are calculated in the framework of exact diagonalization, which rigorously accounts for the Coulomb interaction beyond the mean-field approaches, though limited to few electrons. The charge localization depends on the number of electrons present in the quantum interconnect, as well as on the external electric fields. Assessing the charge distribution and the switching properties becomes a difficult task, as the optimization process requires a large number of instances to be solved. This is one key point where ML techniques can bring a significant advantage. Our approach, based on cGAN mapping of the potential to the charge density, can provide an accurate description for a large set of new systems as shown by several metrics employed: R 2 , SSIM, L . We discuss the prediction accuracy as the number of electrons is increased and during the switching process. In addition, we explore the possibility to deconvolute tunneling current maps using the same general-purpose image-to-image translation tool. The best results are obtained for the mapping performed with symmetric and asymmetric Gaussian convolution kernels, without other perturbations. However, in a more realistic picture, one has to account for imperfections of the STM tip. We considered a background noise in the input images and, applying the deconvolution method, reasonable predictions can still be achieved. The cGAN approach proves to be a promising tool for deconvoluting maps whenever the kernel is unknown.
In conclusion, we proposed a reconfigurable device system and a cGAN-based methodology to efficiently design neuromorphic systems, which can transfer charge and spin between quantum dot registers but can also perform the functions of active switching elements. Also, the current approach can target the prediction of energy functionals for larger systems, as well as the inverse design of quantum multi-terminal devices.

Author Contributions

Conceptualization, A.T.P., C.-A.P.-S. and G.A.N.; methodology, A.T.P. and C.-A.P.-S.; formal analysis, M.M., D.-V.A., L.I. and A.M.; investigation, A.T.P., C.-A.P.-S. and G.A.N.; writing—original draft preparation, A.T.P., C.-A.P.-S. and G.A.N.; writing—review and editing, A.A., L.I. and A.M. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by a grant of the Romanian Ministry of Research, Innovation and Digitalization, CNCS-UEFISCDI, project number PN-III-P4-ID-PCE-2020-1142, within PNCDI III and PN 23210204.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest.

Abbreviations

The following abbreviations are used in this manuscript:
CDMcharge density map
cGANconvolutional generative adversarial neural network
ED      exact diagonalization
MLmachine learning
QDquantum dot
QIquantum interconnect
STMscanning tunneling microscope
TCMtunneling current map

References

  1. Clarke, J.; Wilhelm, F.K. Superconducting quantum bits. Nature 2008, 453, 1031–1042. [Google Scholar] [CrossRef]
  2. Kockum, A.F.; Nori, F. Quantum Bits with Josephson Junctions. In Fundamentals and Frontiers of the Josephson Effect; Springer International Publishing: Cham, Switzerland, 2019; pp. 703–741. [Google Scholar]
  3. Lange, W. Quantum Computing with Trapped Ions. In Computational Complexity: Theory, Techniques, and Applications; Springer: New York, NY, USA, 2012; pp. 2406–2436. [Google Scholar]
  4. Srinivas, R.; Burd, S.C.; Knaack, H.M.; Sutherland, R.T.; Kwiatkowski, A.; Glancy, S.; Knill, E.; Wineland, D.J.; Leibfried, D.; Wilson, A.C.; et al. High-fidelity laser-free universal control of trapped ion qubits. Nature 2021, 597, 209–213. [Google Scholar] [CrossRef]
  5. Zhang, X.; Li, H.O.; Wang, K.; Cao, G.; Xiao, M.; Guo, G.P. Qubits based on semiconductor quantum dots. Chin. Phys. B 2018, 27, 020305. [Google Scholar] [CrossRef]
  6. Zwerver, A.M.J.; Krähenmann, T.; Watson, T.F.; Lampert, L.; George, H.C.; Pillarisetty, R.; Bojarski, S.A.; Amin, P.; Amitonov, S.V.; Boter, J.M.; et al. Qubits made by advanced semiconductor manufacturing. Nat. Electron. 2022, 5, 184–190. [Google Scholar] [CrossRef]
  7. Bertoni, A.; Bordone, P.; Brunetti, R.; Jacoboni, C.; Reggiani, S. Quantum Logic Gates based on Coherent Electron Transport in Quantum Wires. Phys. Rev. Lett. 2000, 84, 5912–5915. [Google Scholar] [CrossRef]
  8. Ramamoorthy, A.; Bird, J.P.; Reno, J.L. Using split-gate structures to explore the implementation of a coupled-electron-waveguide qubit scheme. J. Phys. Condens. Matter 2007, 19, 276205. [Google Scholar] [CrossRef]
  9. Carr, L.D.; DeMille, D.; Krems, R.V.; Ye, J. Cold and ultracold molecules: Science, technology and applications. New J. Phys. 2009, 11, 055049. [Google Scholar] [CrossRef]
  10. Softley, T.P. Cold and ultracold molecules in the twenties. Proc. R. Soc. A Math. Phys. Eng. Sci. 2023, 479, 20220806. [Google Scholar] [CrossRef]
  11. Harneit, W. Fullerene-based electron-spin quantum computer. Phys. Rev. A 2002, 65, 032322. [Google Scholar] [CrossRef]
  12. Hu, D.; Zhang, G.; Yang, H.; Zhang, J.; Chen, C.; Lan, S.; Chen, H.; Guo, T. High-Performance Nonvolatile Organic Transistor Memory Using Quantum Dots-Based Floating Gate. IEEE Trans. Electron Devices 2017, 64, 3816–3821. [Google Scholar] [CrossRef]
  13. Hasaneen, E.S.; Heller, E.; Bansal, R.; Huang, W.; Jain, F. Modeling of nonvolatile floating gate quantum dot memory. Solid-State Electron. 2004, 48, 2055–2059. [Google Scholar] [CrossRef]
  14. Chiang, Y.C.; Yang, W.C.; Hung, C.C.; Ercan, E.; Chiu, Y.C.; Lin, Y.C.; Chen, W.C. Fully Photoswitchable Phototransistor Memory Comprising Perovskite Quantum Dot-Based Hybrid Nanocomposites as a Photoresponsive Floating Gate. ACS Appl. Mater. Interfaces 2023, 15, 1675–1684. [Google Scholar] [CrossRef]
  15. Jeong, Y.J.; Yun, D.J.; Noh, S.H.; Park, C.E.; Jang, J. Surface Modification of CdSe Quantum-Dot Floating Gates for Advancing Light-Erasable Organic Field-Effect Transistor Memories. ACS Nano 2018, 12, 7701–7709. [Google Scholar] [CrossRef] [PubMed]
  16. Thomas, S. Quantum dots get cross. Nat. Electron. 2023, 6, 646. [Google Scholar] [CrossRef]
  17. Markovic, D.; Grollier, J. Quantum neuromorphic computing. Appl. Phys. Lett. 2020, 117, 150501. [Google Scholar] [CrossRef]
  18. Abbas, A.; Sutter, D.; Zoufal, C.; Lucchi, A.; Figalli, A.; Woerner, S. The power of quantum neural networks. Nat. Comput. Sci. 2021, 1, 403–409. [Google Scholar] [CrossRef]
  19. Bruce, N.A.; Maksym, P.A. Quantum states of interacting electrons in a real quantum dot. Phys. Rev. B 2000, 61, 4718. [Google Scholar] [CrossRef]
  20. Moldoveanu, V.; Manolescu, A.; Tang, C.S.; Gudmundsson, V. Coulomb interaction and transient charging of excited states in open nanosystems. Phys. Rev. B 2010, 81, 155442. [Google Scholar] [CrossRef]
  21. Daday, C.; Manolescu, A.; Marinescu, D.C.; Gudmundsson, V. Electronic charge and spin density distribution in a quantum ring with spin-orbit and Coulomb interactions. Phys. Rev. B 2011, 84, 115311. [Google Scholar] [CrossRef]
  22. Nemnes, G.A.; Mitran, T.L.; Preda, A.T.; Ghiu, I.; Marciu, M.; Manolescu, A. Investigation of bi-particle states in gate-array-controlled quantum-dot systems aided by machine learning techniques. Phys. Scr. 2022, 97, 055813. [Google Scholar] [CrossRef]
  23. Pantis-Simut, C.A.; Preda, A.T.; Ion, L.; Manolescu, A.; Nemnes, G.A. Mapping confinement potentials and charge densities of interacting quantum systems using conditional generative adversarial networks. Mach. Learn. Sci. Technol. 2023, 4, 025023. [Google Scholar] [CrossRef]
  24. Gao, J.; Qiao, L.F.; Jiao, Z.Q.; Ma, Y.C.; Hu, C.Q.; Ren, R.J.; Yang, A.L.; Tang, H.; Yung, M.H.; Jin, X.M. Experimental Machine Learning of Quantum States. Phys. Rev. Lett. 2018, 120, 240501. [Google Scholar] [CrossRef] [PubMed]
  25. Carleo, G.; Troyer, M. Solving the quantum many-body problem with artificial neural networks. Science 2017, 355, 602–606. [Google Scholar] [CrossRef] [PubMed]
  26. Gao, X.; Duan, L.M. Efficient representation of quantum many-body states with deep neural networks. Nat. Commun. 2017, 8, 662. [Google Scholar] [CrossRef] [PubMed]
  27. Frey, N.C.; Akinwande, D.; Jariwala, D.; Shenoy, V.B. Machine Learning-Enabled Design of Point Defects in 2D Materials for Quantum and Neuromorphic Information Processing. ACS Nano 2020, 14, 13406–13417. [Google Scholar] [CrossRef]
  28. Melnikov, A.A.; Nautrup, H.P.; Krenn, M.; Dunjko, V.; Tiersch, M.; Zeilinger, A.; Briegel, H.J. Active learning machine learns to create new quantum experiments. Proc. Natl. Acad. Sci. USA 2018, 115, 1221–1226. [Google Scholar] [CrossRef]
  29. Fösel, T.; Tighineanu, P.; Weiss, T.; Marquardt, F. Reinforcement Learning with Neural Networks for Quantum Feedback. Phys. Rev. X 2018, 8, 031084. [Google Scholar] [CrossRef]
  30. Reuer, K.; Landgraf, J.; Fösel, T.; O’Sullivan, J.; Beltrán, L.; Akin, A.; Norris, G.J.; Remm, A.; Kerschbaum, M.; Besse, J.C.; et al. Realizing a deep reinforcement learning agent for real-time quantum feedback. Nat. Commun. 2023, 14, 7138. [Google Scholar] [CrossRef]
  31. Zhang, Y.H.; Zheng, P.L.; Zhang, Y.; Deng, D.L. Topological Quantum Compiling with Reinforcement Learning. Phys. Rev. Lett. 2020, 125, 170501. [Google Scholar] [CrossRef]
  32. Beer, K.; Bondarenko, D.; Farrelly, T.; Osborne, T.J.; Salzmann, R.; Scheiermann, D.; Wolf, R. Training deep quantum neural networks. Nat. Commun. 2020, 11, 808. [Google Scholar] [CrossRef]
  33. Nguyen, T.; Paik, I.; Watanobe, Y.; Thang, T.C. An Evaluation of Hardware-Efficient Quantum Neural Networks for Image Data Classification. Electronics 2022, 11, 437. [Google Scholar] [CrossRef]
  34. Date, P.; Potok, T.; Schuman, C.; Kay, B. Neuromorphic Computing is Turing-Complete. In Proceedings of the ICONS’22: International Conference on Neuromorphic Systems 2022, Knoxville, TN, USA, 27–29 July 2022. [Google Scholar]
  35. Isola, P.; Zhu, J.Y.; Zhou, T.; Efros, A.A. Image-to-Image Translation with Conditional Adversarial Networks. arXiv 2016, arXiv:1611.07004. [Google Scholar]
  36. Wang, Z.; Bovik, A.; Sheikh, H.; Simoncelli, E. Image quality assessment: From error visibility to structural similarity. IEEE Trans. Image Process. 2004, 13, 600–612. [Google Scholar] [CrossRef]
  37. Joucken, F.; Davenport, J.L.; Ge, Z.; Quezada-Lopez, E.A.; Taniguchi, T.; Watanabe, K.; Velasco, J.; Lagoute, J.; Kaindl, R.A. Denoising scanning tunneling microscopy images of graphene with supervised machine learning. Phys. Rev. Mater. 2022, 6, 123802. [Google Scholar] [CrossRef]
  38. Krull, A.; Hirsch, P.; Rother, C.; Schiffrin, A.; Krull, C. Artificial-intelligence-driven scanning probe microscopy. Commun. Phys. 2020, 3, 54. [Google Scholar] [CrossRef]
Figure 1. Schematic representation of the reconfigurable neuromorphic system. (a) A layer containing a floating gate array of QDs controls the confinement in the active layer; STM tips perform the charging of the floating gate QDs and the read-out of the charge densities. (b) An in-plane view of the active layer, where the QI is defined. QD registers are connected to the input and output terminals, supplying and collecting electrons.
Figure 1. Schematic representation of the reconfigurable neuromorphic system. (a) A layer containing a floating gate array of QDs controls the confinement in the active layer; STM tips perform the charging of the floating gate QDs and the read-out of the charge densities. (b) An in-plane view of the active layer, where the QI is defined. QD registers are connected to the input and output terminals, supplying and collecting electrons.
Applsci 14 01111 g001
Figure 2. Workflow summarizing the steps in the design of the QIs: From a computational perspective, the confinement potentials of the neuromorphic structures give the charge density maps (CDMs) inside the device, and this is determined by the exact diagonalization method. The tunneling current maps (TCMs) result as convolutions from the charge densities. Using the cGAN approach, the TCMs are deconvoluted into CDMs, while mappings between confinement potentials and CDMs can be obtained in the same way. In a prototypical experimental device, the TCMs can be measured and can be fed in the machine learning approach in order to efficiently optimize the device structure. The cGAN approach is based on two coupled system of networks, the generator and the discriminator, which process pairs of images: the generator provides an output image based on the input, while the discriminator compares the generated image with the reference one.
Figure 2. Workflow summarizing the steps in the design of the QIs: From a computational perspective, the confinement potentials of the neuromorphic structures give the charge density maps (CDMs) inside the device, and this is determined by the exact diagonalization method. The tunneling current maps (TCMs) result as convolutions from the charge densities. Using the cGAN approach, the TCMs are deconvoluted into CDMs, while mappings between confinement potentials and CDMs can be obtained in the same way. In a prototypical experimental device, the TCMs can be measured and can be fed in the machine learning approach in order to efficiently optimize the device structure. The cGAN approach is based on two coupled system of networks, the generator and the discriminator, which process pairs of images: the generator provides an output image based on the input, while the discriminator compares the generated image with the reference one.
Applsci 14 01111 g002
Figure 3. Reference and predicted charge density maps for N = 1 , 2 , 3 , 4 confined electrons, in neuromorphic systems with (a) narrow leads, R 0 = 10 nm and (b) wide leads, R 0 = 20 nm. For both systems, the confinement potential, reference and predicted densities are indicated. The effect of the Coulomb interaction is seen in the extension of the charge distribution.
Figure 3. Reference and predicted charge density maps for N = 1 , 2 , 3 , 4 confined electrons, in neuromorphic systems with (a) narrow leads, R 0 = 10 nm and (b) wide leads, R 0 = 20 nm. For both systems, the confinement potential, reference and predicted densities are indicated. The effect of the Coulomb interaction is seen in the extension of the charge distribution.
Applsci 14 01111 g003
Figure 4. Prediction accuracy for the charge density maps, measured by the R 2 coefficient of determination, SSIM and L : (a) narrow leads, R 0 = 10 nm and (b) wide leads, R 0 = 20 nm.
Figure 4. Prediction accuracy for the charge density maps, measured by the R 2 coefficient of determination, SSIM and L : (a) narrow leads, R 0 = 10 nm and (b) wide leads, R 0 = 20 nm.
Applsci 14 01111 g004
Figure 5. Switching induced by in-plane electric field contributions, with (a) e x and (b) e y orientations. For each case, the confinement potential is depicted, followed by the particle densities for non-interacting ( N = 1 ) and interacting ( N = 2 ) systems, showing the reference and predicted maps. The orange shades denote a lower potential energy, while the yellow ones indicate a higher potential energy.
Figure 5. Switching induced by in-plane electric field contributions, with (a) e x and (b) e y orientations. For each case, the confinement potential is depicted, followed by the particle densities for non-interacting ( N = 1 ) and interacting ( N = 2 ) systems, showing the reference and predicted maps. The orange shades denote a lower potential energy, while the yellow ones indicate a higher potential energy.
Applsci 14 01111 g005
Figure 6. The input–output commutation using a rotating in-plane electric field E 0 , with components E x , i = E 0 cos ( θ i ) and E y , i = E 0 sin ( θ i ) , with E 0 > 0 . The θ i angle starts from π / 2 , i.e., the electric field is initially oriented along the y direction and it is decreasing in steps of π / 10 . The first row of images ends with the field oriented along the + y direction. A switching between the input and output terminals is achieved, as well as the splitting of the charge distribution across pairs of input terminals.
Figure 6. The input–output commutation using a rotating in-plane electric field E 0 , with components E x , i = E 0 cos ( θ i ) and E y , i = E 0 sin ( θ i ) , with E 0 > 0 . The θ i angle starts from π / 2 , i.e., the electric field is initially oriented along the y direction and it is decreasing in steps of π / 10 . The first row of images ends with the field oriented along the + y direction. A switching between the input and output terminals is achieved, as well as the splitting of the charge distribution across pairs of input terminals.
Applsci 14 01111 g006
Figure 7. Deconvoluting tunneling current maps and comparison with the reference charge density maps: (a) σ x = σ y = 1 , σ n = 0.01 ; (b) σ x = σ y = 3 , σ n = 0 ; (c) σ x = 3 , σ y = 1 , σ n = 0 ; (d) σ x = 1 , σ y = 3 , σ n = 0 ; (e) σ x = σ y = 1 , σ n = 0.1 ; (f) σ x = 3 , σ y = 1 , σ n = 0.1 .
Figure 7. Deconvoluting tunneling current maps and comparison with the reference charge density maps: (a) σ x = σ y = 1 , σ n = 0.01 ; (b) σ x = σ y = 3 , σ n = 0 ; (c) σ x = 3 , σ y = 1 , σ n = 0 ; (d) σ x = 1 , σ y = 3 , σ n = 0 ; (e) σ x = σ y = 1 , σ n = 0.1 ; (f) σ x = 3 , σ y = 1 , σ n = 0.1 .
Applsci 14 01111 g007
Figure 8. The R 2 coefficient measuring prediction accuracies for the test cases described in Figure 7. Introducing noise and x / y asymmetry lowers the prediction accuracy.
Figure 8. The R 2 coefficient measuring prediction accuracies for the test cases described in Figure 7. Introducing noise and x / y asymmetry lowers the prediction accuracy.
Applsci 14 01111 g008
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Preda, A.T.; Pantis-Simut, C.-A.; Marciu, M.; Anghel, D.-V.; Allosh, A.; Ion, L.; Manolescu, A.; Nemnes, G.A. Design of Nanoscale Quantum Interconnects Aided by Conditional Generative Adversarial Networks. Appl. Sci. 2024, 14, 1111. https://doi.org/10.3390/app14031111

AMA Style

Preda AT, Pantis-Simut C-A, Marciu M, Anghel D-V, Allosh A, Ion L, Manolescu A, Nemnes GA. Design of Nanoscale Quantum Interconnects Aided by Conditional Generative Adversarial Networks. Applied Sciences. 2024; 14(3):1111. https://doi.org/10.3390/app14031111

Chicago/Turabian Style

Preda, Amanda Teodora, Calin-Andrei Pantis-Simut, Mihai Marciu, Dragos-Victor Anghel, Alaa Allosh, Lucian Ion, Andrei Manolescu, and George Alexandru Nemnes. 2024. "Design of Nanoscale Quantum Interconnects Aided by Conditional Generative Adversarial Networks" Applied Sciences 14, no. 3: 1111. https://doi.org/10.3390/app14031111

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop