Next Article in Journal
Prediction of Sound Radiation from Submerged Cylindrical Shell Based on Dominant Modes
Next Article in Special Issue
Compact Trap-Assisted-Tunneling Model for Line Tunneling Field-Effect-Transistor Devices
Previous Article in Journal
Influence on Bone-to-Implant Contact of Non-Thermal Low-Pressure Argon Plasma: An Experimental Study in Rats
Previous Article in Special Issue
Comparison of Temperature Dependent Carrier Transport in FinFET and Gate-All-Around Nanowire FET
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Understanding of Feedback Field-Effect Transistor and Its Applications

Department of Electrical and Computer Engineering, Sungkyunkwan University, Suwon 16419, Korea
*
Author to whom correspondence should be addressed.
Appl. Sci. 2020, 10(9), 3070; https://doi.org/10.3390/app10093070
Submission received: 3 April 2020 / Revised: 22 April 2020 / Accepted: 23 April 2020 / Published: 28 April 2020
(This article belongs to the Special Issue Device Modeling for TCAD and Circuit Simulation)

Abstract

:
Feedback field-effect transistors (FBFETs) are devices based on a positive feedback loop in which the electrons and holes in the channel region act on the energy states of the potential barrier and wall. Owing to the positive feedback phenomenon, FBFETs have an excellent subthreshold swing (~0 mV/decade at 300 K), a high on-/off current ratio (~1010), and a clear saturation region. The power consumption of both the turn-on state and turn-off state is significantly low until operation commences. In addition, the hysteresis caused by the carriers accumulated in the potential wall allows the FBFET to act as a memory device. Moreover, the power consumption of neuromorphic devices can be suppressed by ~100 times with the use of FBFETs. In this work, we analyze the device structure and operating principle of the FBFET and summarize its applications.

1. Introduction

Metal-oxide-semiconductor field-effect transistors (MOSFETs) have been scaled down over the last half-century to achieve high density, high performance, and high cost-effectiveness [1,2,3]. In spite of the advantages of scaling, some issues have arisen as the device sizes continue to shrink. For instance, the power consumption and operating temperature of the transistor have increased significantly [2,3]. In addition, the leakage current has increased to the extent that it may surpass the dynamic power consumption [2,3]. Various techniques have been introduced to reduce both the leakage current and power consumption, but those efforts have thus far been restricted by the theoretical limit of subthreshold swing (SS) (i.e., SS ~60 mV/decade at 300 K) of MOSFET [2]. In order to improve the subthreshold swing of the transistor, various novel steep-switching devices have been explored. The major novel devices are classified as follows: negative capacitance FET (NCFET) that exhibits steep slope by using the negative capacitance effect of ferroelectric layer [4,5,6,7,8], phase FET, which consolidates additional components to use the unique properties of phase transition material like abrupt and reversible resistivity switching with a conventional thermionic emission process through low-drive voltage [9,10], Nano-Electro-Mechanical (NEM) relay using the mechanical operation for causing connection and disconnection of the channel [11], impact ionization MOS (I-MOS) device controlled by impact ionization which is generated by a high electric field [12,13,14], and tunnel FET (TFET), utilizing the band-to-band tunneling (BTBT) operational mechanism [15,16,17].
Of these, field-effect transistors (FETs) using a positive feedback mechanism have attracted particular attention. Feedback FETs (FBFETs) show excellent subthreshold swing (~0 mV/decade at 300 K) and high on-/off current ratios (~1010) [18,19]. For those reasons, many researchers have expressed interest in FBFETs and proposed various types of FBFETs, such as positive feedback devices (PF devices) [20,21,22], zero-impact ionization, zero subthreshold swing FETs (Z2-FETs) [23,24,25,26,27,28,29], and zero subthreshold swing, zero-impact ionization, and zero-gate FETs (Z3-FETs) [30,31,32]. In this study, we will summarize the characteristics of FBFETs (i.e., operating mechanism, structure modeling, and transfer characteristics).
Compared to conventional FETs, the superior properties of FBFETs can be utilized in a circuit composed of invertors or especially for neuromorphic devices. One of these properties involves a saturation region that is clearly distinguished according to gate voltage (Vg). Especially at threshold voltage (Vth), the power supplied to a device in both the on-state and off-state can be very low, and the power consumption is low both during operation and in the off-state until operation.
FBFETs have demonstrated their potential as memory devices. A representative example is the capacitor-less dynamic random-access memory (DRAM). The 1T-DRAM was first proposed two decades ago [33], however, it still remains in the academic research stage. These devices exhibit fatal drawbacks such as low reliability, high power consumption, or lack of compatibility with standard process technologies such as meta-stable DRAM (MSDRAM) [34,35,36] and A2RAM [37,38]. Heretofore, the conventional 1-transistor 1-capacitor DRAM (1T-1C DRAM) succeeded in overcoming difficulties in the development process without serious problems. The 1T-DRAM has not yet demonstrated the ability to become commercially available to replace the DRAM [37,38,39,40,41,42]. However, the DRAM is facing huge challenges that are proving hard to overcome. The primary issue is that scaling the capacitor in the bit-cell of the DRAM can no longer keep up with the access transistor in the bit-cell [41,42]. A capacitor requires a minimum size to store a certain amount of charge and to ensure accurate memory cell operation. As various studies have been conducted on replacing the traditional DRAM with a new one, a DRAM using FBFETs has emerged as promising [43,44,45,46,47,48]. The positive feedback mechanism is based on the accumulation of electrons and holes in the potential wall, which leads to a collapse of the potential barriers, resulting in hysteresis. In general, hysteresis is considered as a side effect that is generally handled as being parasitic and unnecessary [49,50,51]. However, FBFETs could play the role of memory for a promising new 1T-DRAM with this feature.
A new static random-access memory (SRAM) utilizing FBFET unit cells has been proposed. For these SRAM unit cells, a special ability induced by the positive feedback operation allows for high-speed memory operation. Moreover, the big cell area, which has been noted as a limitation of the conventional SRAM, is solved by a simple device structure using FBFETs. The cell achieves a small area at 8F2, enabling high-density design, while the low switching current dramatically reduces the rapid switching characteristics, simultaneously enabling the performance of low-power memory [52,53,54]. The FBFET-based SRAM unit cell also demonstrates the excellent operating performance of the SRAM, including a write speed, fast read speed, and competent retention time because of its positive feedback mechanism [52,53,54]. These results demonstrate the great potential of FBFET for next-generation DRAM/SRAM memory applications.
FBFETs are also being studied for application to neuromorphic devices to solve the issue for energy efficiency [21,55,56]. Based on the mechanisms of biological neurons, artificial neural networks (ANNs) have been proposed as powerful tools for pattern recognition and classification [57,58]. Beyond ANNs, spiking neural networks (SNNs) have been proposed [59,60,61,62]. However, conventional neuronal circuits in SNNs require large areas as well as high power consumption [21]. PF devices with a split-gate floating body have been proposed as new neuron devices that demonstrate integration-and-fire capabilities [21,55,56]. A recent simulation study of those devices used a common magnetic controller in the neuron layer and demonstrated successful operation of a high-density multiple PF neuron system with reset and lateral suppression capabilities. The study proposed a method for SNNs to reduce power consumption by ~100 times with FBFET-based circuits [21].

2. Feedback Field-Effect Transistors (FBFETs)

2.1. FBFET Device Structure

FBFETs were first proposed in 2008 [63]. The design of the new FET is similar, with a P-I-N diode under forward bias. It exhibits positive feedback with double potential barriers. Surrounding the channel region close to the source and drain, gate-sidewall spacers fabricated to trap charges play the role of potential barriers on the FBFET (see Figure 1). Each barrier that blocks the flow of electrons and holes makes it possible to utilize P-I-N diodes as FBFETs. This can be understood and analyzed using energy band diagrams (see Figure 2). The whole steps for the mechanism above are described in detail in Section 2.2.
The FBFET can overcome the limits of conventional MOSFETs. The FBFET can have steep switching values (i.e., subthreshold swing ~2 mV/decade at room temperature) and a high on-/off current ratio (~107) [63,64]. Based on the voltage applied to the gate, the FBFET can, of course, operate as both an n-type and p-type transistor. However, the mechanism that depends on the trapped charge can cause issues. For example, as the device operates, the stimulus applied to the spacer creates instability. Furthermore, an additional process is needed to store the carrier on the spacer attached to the source and drain regions, which should be simplified.
Based on the FBFET structure, many studies have been conducted to improve the electrical properties of the FBFET [23,24,25,26,27,28,29,30,31,32,37,38,39,40,41,42]. The most common framework among them is to change the means of fabricating the two potential barriers implemented by the trapped charges of the gate-sidewall spacers. There are two approaches: (1) the first is to replace them with potential barriers created by the junctions of Si regions with different doping concentrations. Depending on the location of the gate, next to the source or drain, the type of operation is determined by the n- or p-type FET. Therefore, it can play the role of n- and p-type transistors simultaneously through the modification of device structure having double gates adjacent to the source and drain [63,64,65]. (2) The other approach is to form an additional gate next to the source (S) and drain (D) regions by using electrical energy as a potential barrier. This device structure can perform as an n- or p-type FET, depending on the voltage applied to the gate. However, it has the disadvantage that there is the energy consumption by the gate voltage for the barrier.

2.2. Positive Feedback Mechanism

Feedback indicates that all or part of the output returns back to the input. Positive feedback has the characteristic that the input condition increases after a single process, and the re-input condition is repeated for the second process. Regenerative cycles thus continue to be positively amplified.
FBFETs have two potential barriers, which are located in the channel region next to the source and drain, as in thyristors, and these prevent the flow of electrons and holes. As the potential barrier is formed, a potential wall is simultaneously formed on the opposite side, which has the lowest energy state for carriers. When a gate voltage is applied, positive feedback begins to operate through the lowered potential barrier. It is possible for carriers to flow from the source to the drain through the lowered potential barrier. Some electrons or holes are trapped in the potential wall in the channel region [63,64,65]. Charges induced by carriers trapped in the potential wall act on the energy band. Electrons increase the energy band in the adjacent region, meaning that the potential barrier on the opposite side of the potential wall is lowered. Holes have the opposite effect of electrons, causing the energy band to become higher. Trapped holes near the potential wall will cause barrier collapse. As the barriers have a lowered height, additional carrier flow occurs through the lowered barrier to operate the former process repeatedly. Once the operation has begun, the positive feedback loop can continue to operate without any additional voltage. As a result, higher currents can flow, as compared with the case in which only voltage was applied to the gate primarily to lower the potential barrier [63,64,65].
Figure 3 shows the loop for showing the positive feedback mechanism after the spacer is conditioned with trapped charges. The potential barrier height to block the electron flow induced by the trapped charge on the spacer is expressed as VBe, with an initial state between the N+ doping concentration region and the spacer region adjacent to the N+ region without the gate and drain bias at equilibrium. If there is super-elevation on the gate bias (VG0) and drain bias (VD0) at equilibrium, the additional reduction of the electron (hole) injection barrier can be defined as ϕeh). The height of the potential barrier blocking the electrons can be defined as (VBe − ϕe) [65]. The drift current can be neglected owing to the dominance of the diffusion current in the ideal diode equation. Dn and Dp are the diffusivity of electrons or holes, and Ln and Lp are the diffusion length for electrons or holes, respectively. np is the minority carrier concentration at the boundary x = −xp, and pn is the minority carrier concentration at the boundary x = xn. k is Boltzmann’s constant. When VG0 is applied to the gate and VD0 is applied to the drain, the initial electron current can be written using the Shockley equation, as follows:
Ie0 = qA(DppnLP−1 + DnnpLn−1) (e[qV/(kT)] − 1),
= Iei (e[ϕe/(kT)] − 1)
For the same bias, the hole barrier (at the drain side) induced by the initial hole current can be written as follows:
Ih0 = qA(DpPnLP−1 + DnnpLn−1) (e[qV/(kT)] − 1)
= Ihi (e[ϕe/(kT)] − 1)
Step 1. If we set the condition of a small change in the gate voltage or drain voltage, a small perturbation, ΔY, of the electron injection barrier will occur. The ΔY caused by an exterior change will allow more electrons to be injected into the intrinsic Si region from the N+ region. The resulting change in electron current (ΔIe) is presented as follows:
ΔIe = I0 (e[ΔY/(kT)] − 1)
Ie = Iei (e[ϕe/(kT)] − 1)
ΔIe = Iei (e[ϕe/(kT)] − 1) (e[ΔY/(kT)] − 1)
Using the Taylor’s expansion on the e[ΔY/(kT)] for the first two terms yields the following:
e[ΔY/(kT)] = 1 + [ΔY/(kT)]
ΔIe = Iei (e[ϕe/(kT)] − 1) [ΔY/(kT)]
Assuming that no recombination occurs in the channel region, the electrons flowing from the N+ region will accumulate in the potential wall next to the P+ region. Some carriers will be stored in the wall, while others will cross the potential wall barrier and have sufficient kinetic energy to be injected into the drain and be recombined. Letting the charge stored in the potential wall be ΔQ−, the electron carrier lifetime be τ_, the barrier potential seen by the electrons in the potential wall be ϕBh, and A be a parameter, the following can be obtained:
ΔIe = ΔQ − τ_−1 + ΔIe Ae[−ϕBh/(kT)]
The charge, ΔQ−, from the electrons stored in the potential wall can be given by:
ΔQ− = ΔIe τ_ (1 − Ae[−ϕBh/(kT)])
Step 2. VBh will be decreased and ϕh will be increased by the ΔQ− affected by the stored electrons. More holes will be injected into the channel from the collapsing potential barrier. The changes in the hole barrier (ΔZ) and hole current (ΔIh) are given as follows:
ΔZ = ΔQ − Cp−1
ΔIh = Ihi (e[ϕh/(kT)] − 1) (e[ΔZ/(kT)] − 1)
The capacitance under the P+ spacer is Cp. Again, using the Taylor’s expansion on e[ΔZ/(kT)] and only including the first two terms yields the following:
ΔIh = Ihi (e[ϕh/(kT)] − 1) [ΔZ/(kT)]
Through the same processes and conditions as perturbation of energy band next to the drain, the hole current flows to the source side and is trapped in a potential wall near the N+ source, affecting the valance band (EV) potential. Thus, VBe decreases by δY.
ΔQ+ = ΔIh τ+ (1 − B e[−ϕBe/(kT)])
δY = ΔQ + Cn−1
Let the charge stored in the potential wall be ΔQ+ near the N+ region, Cn be the capacitance under the potential wall conditioning with trapped electrons region, the hole carrier lifetime be τ+, the barrier potential seen by the hole in the potential wall be ϕBe, and B be a parameter. The equation for gain can be described with these parameters:
ΔY = (kT ΔIe)(Iei (e[ϕe/(kT)] − 1)−1
Gain = δY/ΔY = ΔQ + (Iei (e[ϕe/(kT)] − 1) (kT ΔIe Cn)−1
= τ_ τ+ Iei (e[ϕe/(kT)] − 1) (1 − Ae[−ϕBh/(kT)]) Ihi (e[ϕh/(kT)] − 1) (1 − Be[−ϕBe/(kT)]) (Cn Cp (kT)2)−1
= τ_ τ+ Iei*Ihi* (Cn Cp (kT)2)−1
where,
Iei* = Iei (e[ϕe/(kT)] − 1) (1 − Ae[−ϕBh/(kT)]) and Ihi* = Ihi (e[ϕh/(kT)] − 1) (1 − Be[−ϕBe/(kT)])
When the gain is ≥1, FBFET will be operated with steep-switching by the positive feedback. As the positive feedback mechanism uses both electrons and holes, the type of transistor is determined by which of the two potential barriers blocks the flow of holes/electrons and applies a negative/positive voltage to initiate a feedback operation (see Figure 4) [63,64,65].

2.3. PF and Band-Modulation Devices (FED, Z2-FET, Z3-FET)

Depending on the specific mechanisms and the device structures, FBFETs have various names, such as PF devices [21,22], band-modulation devices (BM devices) [66,67], Z2-FET devices [23,24,25,26,27,28,29], and Z3-FET devices (see Figure 5) [30,31,32]. The positive feedback first proposed in FBFETs is their key operation principle. Based on the positive feedback mechanism, two potential barriers are created in three ways: the first one is through a trapped charge of the spacer or insulator layer (see Figure 1) [23,63,64,68,69], the second one is by creating a virtual doping concentration through the gate voltage in the channel region next to the source/drain (see Figure 5) [30,31,32,70,71,72,73], and the third one is through the junction of Si regions with different doping concentrations (see Figure 6) [74,75]. The mechanism used in the first and second is called band modulation, and devices with positive feedback and band modulation can be classified separately (see the list in Table 1).
First, all devices including FBFETs that rely on positive feedback can be considered as PF devices. Secondly, these devices can be identified as band-modulation devices if they depend on the band modulation mechanism for barrier formation. Band-modulation devices include field-effect diodes (FEDs) [77,78,79], Z2-FETs, and Z3-FETs, as already shown in Figure 5. The Z3-FET device appears similar to forward-biased P-I-N diodes with undoped ultra-thin silicon films. The device configuration can be seen as a reverse FED. This new device is configurable by applying the standard design rules for fully depleted silicon-on-insulator (FDSOI) technologies [30,31,32]. The ground plane under the thin buried oxide (BOX) allows the threshold voltage of the complementary metal-oxide-semiconductor (CMOS) circuit to be adjusted. As the top gate stack is replaced with a buried ground plane, a reliability issue arises concerning whether it can withstand much higher breakdown voltage through a sufficiently thick BOX rather than the previous thin gate insulator layer. An important feature of Z3-FETs that benefit from using a gate oxide as a BOX is that a high back gate bias can be maintained. Compared with Z2-FETs, Z3-FETs have no high-voltage metal gate stack, and thus there is no problem with high-voltage stability [30,31]. Z3-FETs with these features show their potential as sensors with internal memory for various applications.

3. Characteristics of FBFET

The theoretical limit of SS is defined as 60 mV/decade at 300 K [2]. However, surpassing the theoretical limit of SS can be achieved with novel device structures and/or new materials. Various steep-switching devices have been explored to overcome this limit [4,5,6,7,8,9,10,11,12,13,14,15,16,17,18]. Among them, devices relying on the positive feedback mechanism have been proposed and demonstrated with extraordinary abilities [21,22,23,24,25,26,27,28,29,30,31,32]. FBFETs show excellent subthreshold swing (~0 mV/decade at 300 K) and high on-/off current ratios (~1010) [18,19].
When the temperature or the VDS value increases, the on-/off current ratio increases. As the depth of the channel decreases, the device exhibits features that have characteristics more suitable for FDSOI structures. As the dielectric constant of the insulator layer increases, threshold voltage (VTH) increases, while VTH decreases with increasing the work function of gate material. Depending on the gate voltage, there is a limit to the channel length, and, depending on the gate length, there is a limit on VTH. N-/p-type FBFETs are formed based on the gate location adjacent to the source/drain [75]. As the channel length increases over 500 nm, Von increases, Ion decreases, and abrupt switching decreases due to the fast regeneration of minority carriers. In addition, hysteresis disappears as the channel length increases [80].
Various simulation studies have found that, the shorter the region of the FBFET channel is, the better the performance is. Shortening the channel length improves the switching characteristics, and the outstanding characteristics of the FBFET are represented with the benefits from scaling a device, unlike the existing MOSFETs [75]. In contrast, as the channel length increases, low SS values and on-/off-current without saturation can be obtained. For channel lengths longer than 100 nm, FBFETs do not exhibit ideal switching characteristics. Nevertheless, all of the SS values were less than 60 mV/decade at 300 K.
However, recent studies have shown that the positive feedback mechanism does not work, in contrast to the improved characteristics that have been achieved on the ~10 nm scale. The cause lies in the role of the potential barriers, which are key in the operation of FBFET. As the channel length increases, the potential barrier becomes wider. The energy and time required to collapse the potential barrier increase when the channel length is longer than 40 nm. At smaller scales, however, the potential barrier becomes too narrow, resulting in short channel effects (SCE), which are expected to block the carrier flow. To solve this limitation, it is necessary to consider ways to overcome the SCE, as in conventional MOSFETs [75].
When the channel length decreases, the threshold voltage decreases and the on-/off current ratio increases. However, there are limitations on VDS, depending on the channel length. If the channel length is 40 nm, the VDS value will not be able to cut off the current at 1.1 V. When VDS is 1 V, the channel length of 30 nm cannot cut off the current. As a result, there is a limit on the possible shrinking in FBFET, as shown in Figure 7 [75]. In addition, as the drain current increases, a problem arises in that the value of the potential voltage preventing carrier flow in the drain becomes low [63,64,65,75].

4. Applications of FBFET

4.1. Logic Device Applications (FBFET-Based Inverter)

An inverter design that functions properly requires efficient operation of the pullup and pulldown devices. As the device must be operated under a forward bias, the magnitude of VDS should be higher than the built-in potential of FBFET [81]. This can be optimized through various combinations of bias, which requires a significant amount of effort. Nevertheless, the advantages of an inverter with a combined FBFET are as follows: FBFETs have a steep-switching feature and high current on/off-current ratio; moreover, the saturation region is clear, especially beyond VTH. In other words, the amount of current supplied to the operating and non-operating regions can be distinguished by the gate voltage (Vg), and thus the power consumption during operation and in the off-state can become minimal. The steep-switching characteristic of the FBFET is utilized in digital integrated circuits. A typical example is that the switching is controlled by an FBFET in the inverter. In a conventional inverter circuit, as the input voltage continues to increase, the charge stored in the capacitor of the circuit tends to run low over a long period of time [81,82]. However, if the FBFET plays the role of controlling the input voltage supply for the operation of the inverter, the voltage stored in the capacitor changes rapidly at VTH of the FBFET. In addition, starting from VTH, the voltage supply appears as a saturation region, showing stable on/off-states. The FBFET-based inverter is shown in Figure 8.

4.2. Memory Cells

The traditional 1T-1C DRAM has allowed the reduction of device sizes to improve the performance and density of cells. The conventional 6-transistor static random-access memory (6T-SRAM) exhibits high performance with low density [83,84,85,86,87,88,89], while DRAM exhibits relatively low performance but provides high density [90]. FBFETs can be used for next-generation DRAM and SRAM to overcome the technical limits of each. A large amount of research has been carried out to demonstrate the competitive characteristics in the field of DRAM, and the capacitor-less DRAM (1T-DRAM), which was first proposed twenty years ago, has received much attention [33]. 1T-DRAM utilizes side effects such as hysteresis, which some studies consider harmful and have tried to remove. When the parasitic carriers that generally cause hysteresis are stored in the body and increase the potential, the threshold voltage is lowered and high current can be achieved—this is defined as the ‘1’ state. The ‘0’ state features a lower current achieved by removing the carriers from the body [34,35,36,43,44,45,46,47,48,88].
The 1T-DRAM has remained stalled in its current state as a potential next-generation candidate. While the 1T-DRAM has great structural advantages, it is necessary to demonstrate its ability to be fully commercialized in order for it to replace the conventional DRAM. Unfortunately, fatal drawbacks such as low reliability, high power consumption, or incompatibility with standard process technologies have emerged for various proposed models [37,38,39,40,41,42]. Most importantly, the traditional DRAM continues to succeed in the development process without serious problems. At present, the conventional 1T-1C DRAM memory cell is reaching its scaling limit. One of its biggest problems is that scaling the cell capacitor can no longer keep up with the transistor [41,42]. To ensure correct memory cell operation, a minimum space is required to store a certain amount of charge. The conventional methods for improving performance through scaling are thus now stagnating in the 1T-1C DRAM device architecture. In addition to devising various technologies to overcome these difficulties, it seems reasonable to consider a new DRAM structure. One promising candidate is memory cell types that store charges in the body of the transistor, thus modulating the threshold voltage and exhibiting distinct drain currents without the capacitor facing scale limitations. The body of the memory cell is then fully depleted of the accumulated carriers to obtain two distinct current levels corresponding to the complementary logic states. There are some device structures, such as MSDRAM [34] and A2RAM [37,38], that can meet most of the DRAM requirements: the ability to work under low voltage, low power consumption, long retention times, and scalability. Among 1T-DRAM cell candidates, the devices containing FBFETs exhibit excellent possibilities with a steep subthreshold swing owing to their positive feedback mechanism. These devices stand out for their performance and feasible integration with the standard fabrication process for ultra-thin body structures (see Figure 9).
There are reasons why FBFET devices should command particular attention. First, the MSDRAM uses a mechanism based on the meta-stable dip hysteresis effect, which requires hysteresis [34]. However, the super-coupling effect, which occurs below 10 nm, makes it difficult for the electrons and hole channels to coexist [35,36]. Secondly, A2RAM considers the high state as that when sufficient holes are stored in the body to create a current bridge from the source to the drain [37]. The other state is defined by the disconnected bridge in the fully depleted state [38]. However, the fabrication and variability of bridges in films is limited when the overall thickness is less than 10 nm. The operation mechanism of the FBFET as 1T-DRAM is as follows. The FBFET has potential barriers controlled by the gate voltage that blocks the flow of electrons or holes along the channel. The mechanism by which hysteresis occurs is that the voltage applied to the gate causes a small collapse owing to the band modulation at the potential barrier [43,44,45,46,47,48]. A flow of carriers then occurs, which are sequentially trapped in the potential wall. The potential walls affected by the accumulated carriers cause a constant change in the height of the opposite potential barrier, which controls the flow of the other carriers. As a result, there is a huge difference in the current flowing to the source and drain repeatedly, which eventually collapses both potential barriers through the carriers trapped in the potential walls [46].
The condition in which carriers are trapped in the potential wall to allow the current to flow well is defined as “1”. Accordingly, the process of trapping the carriers in the potential wall is referred to as the process of “writing”, whereas “holding” is the process of maintaining the data value, and “reading” is the current value in the trapped state [43,44,45,46,47,48]. In contrast, the carrier is released from the potential wall, and thus the height of the potential barrier is high, and the current is blocked. In this case, the state can be written by removing the trapped carrier by adding applied voltage to the opposite gate voltage to release the carrier.
To write the state of ‘0’, the gate voltage is dropped to 0 V to remove the carrier from the channel region located below the gate. Holding state ‘0’ involves returning to a highly negative VG (VGe) range, the device is not in equilibrium because there are no carriers that can be pulled to construct the inversion layer (deep depletion). The rapid change in potential makes the hole injection barrier very steep. To read the ‘0’ state, data is read with a negative pulse. VD should be allowed to select between the measured VDe values in the DC and transient modes, so that the diode does not turn on to ignore uncalled current. State ‘0’ must be refreshed constantly because the energy barrier and VDe are lowered by trapped carriers that are generated to recharge the channel region under the gate (see Figure 10 and Figure 11) [46].
As the gate voltage is set to 0 V to remove the potential barrier, state ‘1’ is written. The VA pulse causes the forward bias of the P-I-N diode, allowing electrons and holes to flow into the channel region when the FBFET is viewed separately from the gate. To hold state ‘1’, VG is applied such that carriers flowing through the channel region are attracted to a certain space under the gate. The barrier is lower than that in the state of holding ‘0’, and VON is reduced. Reading state ‘1’ uses the pulse on the source of the FBFET to create a current in the device, which should be high [46].
In summary, in the ‘1’ state, carriers are stored in the potential wall located in the channel on the body and increase the potential, thus lowering the potential barrier, which leads to a reduced threshold voltage and a high current. In state ‘0’, carriers are removed from the trap located in the channel region on the body and reduce the affordable current [43,44,45,46,47,48].
In addition, a novel FBFET-based SRAM cell has been proposed. For these SRAM cells, the steep-switching characteristic conferred by the positive feedback operation allows for high-speed memory operation, while the high cell area, which has been considered a limitation in conventional SRAM, is solved through a simple device structure using the FBFET (see Figure 12) [52,53,54]. The cell achieves a small area of 8F2, enabling high-density integrated memory cell design, while the low switching current dramatically reduces the switching characteristics, simultaneously allowing the performance of low-power memory. The SRAM bit-cell also demonstrates the excellent operating performance of SRAM, including a write speed, fast read speed, and competent retention time based on the trapped charges in the channel region next to the source/drain [52,53,54]. As a result, these results show the great potential of FBFET DRAM and SRAM for the next-generation memory applications.

4.3. Neuromorphic Cells

ANNs, which have been developed based on the neurotransmitter structure of biological neurons, have been proposed as a powerful method that can be used in place of conventional Neumann computing, especially for pattern recognition and classification [57,58]. Unlike von Neumann’s existing computer architecture, ANNs have been proposed to solve the problem of not being able to compete with the energy efficiency of the biological brain. A new generation of ANNs have been proposed using spiking neural networks (SNNs) (see Figure 13) [59,60,61,62]. However, the traditional neuron circuits of SNNs require large areas as well as high power consumption. The application of FBFETs to neuromorphic devices is being investigated to solve these issues. PF devices with a split-gate floating body are proposed as new neuron devices that demonstrate integration-and-fire capabilities (see Figure 13). This simulation used a common magnetic controller in the neuron layer and demonstrated the successful operation of a high-density multiple-PF neuron system exhibiting reset and lateral suppression. A reduction in power consumption of ~100 times was reported, demonstrating the potential for use of FBFETs in neuromorphic circuits. The energy consumption of the current is proportional to the number of spikes. The average energy consumption of the total output neurons was reduced by approximately 94% compared to conventional neuron circuits. When the PF device value was applied, a low threshold swing (0.04 mV/decade) of the device was obtained, which reduced the existing 25 pJ/spike of the neuron circuit to ~0.25 pJ/spike (see Figure 13c,d). In addition, charge storage through trapping in the potential walls of the wider body of the FBFET functions to imitate the integration in biological neurons without large capacitors. By replacing the Cmem of the conventional neuron circuit, which requires a large space, by using positive feedback for the charge trap layer of the device, a smaller area and higher density of devices are available. Note that Cmem refers to the membrane capacitor of the average neural circuit. As many transistors and capacitors have traditionally been needed for neuromorphic circuits, creating high density is important for their development. A 17-fold reduction in the area of the neurons was reportedly achieved through the use of FBFETs [21].

5. Conclusions

In this paper, starting with the first proposed FBFET, various device structures were explored, and the positive feedback mechanism comprising their principle of operation was explained in detail. As a result of the positive feedback phenomenon, FBFETs show excellent subthreshold swing values (~0 mV/decade at 300 K) and high on-/off current ratios (~1010), giving them potential for use as next-generation memory cells with hysteresis. FBFETs are applied to 1T-1C DRAM to create a capacitor-less DRAM and to 6T-SRAM to create 2T-SRAM. These novel DRAM and SRAM devices can overcome the limits of conventional devices. FBFETs have also been applied to neuromorphic circuits, which have shown significantly improved performance. They can simultaneously solve both the power consumption and large-required areas. These data confirm the possibility of replacing existing devices with next-generation devices having ultra-low power, high performance, and high density.

Author Contributions

C.L., J.S. and C.S. equally contributed to this work. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIP) (No. 2020R1A2C1009063). And, this work was supported by the Future Semiconductor Device Technology Development Program (10052925) funded by the Ministry of Trade, Industry & Energy (MOTIE) and the Korea Semiconductor Research Consortium (KSRC).

Conflicts of Interest

The authors declare that there is no conflict of interest.

References

  1. Thompson, S.E.; Srivatsan, P. Moore’s law: The future of Si microelectronics. Mater. Today 2006, 9, 20–25. [Google Scholar] [CrossRef]
  2. Takayasu, S. Perspectives of low-power VLSI’s. IEICE Trans. Electron. 2004, 87, 429–436. [Google Scholar]
  3. Jo, J.; Shin, C. Study of Temperature Effects on Negative Capacitance Field-Effect Transistor. IEEK 2014, 37, 70–72. [Google Scholar]
  4. Khan, A.I.; Chatterjee, K.; Wang, B.; Drapcho, S.; You, L.; Serrao, C.; Bakaul, S.R.; Ramesh, R.; Salahuddin, S. Negative capacitance in a ferroelectric capacitor. Nature Mater. 2015, 14, 182–186. [Google Scholar] [CrossRef] [PubMed]
  5. Ko, E.; Lee, J.W.; Shin, C. Negative Capacitance FinFET With Sub-20-mV/decade Subthreshold Slope and Minimal Hysteresis of 0.48 V. IEEE Electron Device Lett. 2017, 38, 418–421. [Google Scholar] [CrossRef]
  6. Ko, E.; Shin, J.; Shin, C. Steep switching devices for low power applications: Negative differential capacitance/resistance field effect transistors. Nano Converg. 2017, 5, 306–309. [Google Scholar] [CrossRef] [Green Version]
  7. Jo, J.; Choi, W.Y.; Park, J.-D.; Shim, J.W.; Yu, H.-Y.; Shin, C. Negative Capacitance in Organic/Ferroelectric Capacitor to Implement Steep Switching MOS Devices. Nano Lett. 2015, 15, 4553–4556. [Google Scholar] [CrossRef]
  8. Ko, E.; Shin, C. Effective drive current in steep slope FinFET (vs. conventional FinFET). Appl. Phys. Lett. 2017, 111, 152105. [Google Scholar] [CrossRef]
  9. Shukla, N.; Tjathachary, A. A steep-slope transistor based on abrupt electronic phase transition. Nat. Commun. 2015, 6, 7812. [Google Scholar] [CrossRef] [Green Version]
  10. Shin, J.; Ko, E.; Shin, C. Analysis on the Operation of Negative Differential Resistance FinFET With Pb(Zr0.52Ti0.48)O3 Threshold Selector. IEEE Trans. Electron Devices 2018, 65, 19–22. [Google Scholar] [CrossRef]
  11. Liu, T.J.K.; Markovic, D.; Stojanovic, V.; Alon, E. The relay reborn. IEEE Spectr. 2012, 49, 40–43. [Google Scholar] [CrossRef]
  12. Dennard, R.; Gaensslen, F.; Yu, H.-N.; Rideout, V.; Bassous, E.; LeBlanc, A. Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid-State Circuits 1974, 9, 256–268. [Google Scholar] [CrossRef] [Green Version]
  13. Gopalakrishnan, K.; Griffin, P.B.; Plummer, J.D. Impact ionization MOS (I-MOS)-Part I: Device and circuit simulations. IEEE Trans. Electron Devices 2005, 52, 69–76. [Google Scholar] [CrossRef] [Green Version]
  14. Gopalakrishnan, K.; Griffin, P.B.; Plummer, J.D. I-MOS: A novel semiconductor device with a subthreshold slope lower than kT/q. In Proceedings of the IEDM—International Electron Devices Meeting, Technical Digest, San Francisco, CA, USA, 8–11 December 2002; pp. 289–292. [Google Scholar] [CrossRef]
  15. Choi, W.Y.; Park, B.-G.; Lee, J.D.; Liu, T.-J.K. Tunneling field-effect transistors (TFETs) with subthreshold swing (SS) less than 60 mV/dec. IEEE Electron Device Lett. 2007, 28, 743–745. [Google Scholar] [CrossRef]
  16. Choe, K.; Shin, C. Adjusting the operating voltage of an nanoelectromechanical relay using negative capacitance. IEEE Electron Device Lett. 2017, 64, 5270–5273. [Google Scholar] [CrossRef]
  17. Ning, C.; Renrong, L.; Jing, W.; Wei, Z.; Xu, J. A PNPN tunnel field-effect transistor with high-k gate and low-k fringe dielectrics. J. Semiconduct. 2012, 33. [Google Scholar] [CrossRef]
  18. Jing, W.; Cristoloveanu, S.; Royer, C.L.; Zaslavsky, A. A systematic study of the sharp-switching Z2-FET device: From mechanism to modeling and compact memory applications. Solid-State Electron. 2013, 90, 2–11. [Google Scholar] [CrossRef]
  19. El Dirani, H.; Fonteneau, P.; Solaro, Y.; Legrand, C.A.; Marin-Cudraz, D.; Ferrari, P.; Cristoloveanu, S. Legrand. Sharp-switching band-modulation back-gated devices in advanced FDSOI technology. Solid-State Electron. 2017, 128, 180–186. [Google Scholar] [CrossRef]
  20. Joe, S.; Kang, H.; Choi, N.; Kang, M.; Park, B.; Lee, J. Diode-Type NAND Flash Memory Cell String Having Super-Steep Switching Slope Based on Positive Feedback. IEEE Trans. Electron Devices 2016, 63, 1533–1538. [Google Scholar] [CrossRef]
  21. Choi, K.; Woo, S.; Kang, W.; Lee, S.; Kim, C.; Bae, J.; Lim, S.; Lee, J. A Split-Gate Positive Feedback Device With an Integrate-and-Fire Capability for a High-Density Low-Power Neuron Circuit. Front. Neurosci. 2018, 12. [Google Scholar] [CrossRef] [Green Version]
  22. Choi, N.; Joe, S.; Park, B.; Lee, J. Design Consideration of Diode-Type NAND Flash Memory Cell String Having Super-Steep Switching Slope. IEEE J. Electron Devices Soc. 2016, 4, 328–334. [Google Scholar] [CrossRef]
  23. Cristoloveanu, S.; Wan, J.; Le Royer, C.; Zaslavsky, A. Innovative Sharp Switching Devices. ECS Trans. 2013, 54, 65–75. [Google Scholar] [CrossRef]
  24. Wan, J.; Cristoloveanu, S.; Le Royer, C.; Zaslavsky, A. A feedback silicon-on-insulator steep switching device with gate-controlled carrier injection A. Solid-State Electron. 2012, 76, 109–111. [Google Scholar] [CrossRef]
  25. Cristoloveanu, S.; Wan, J.; le Royer, C. Sharp-Switching SOI Devices. In Proceedings of the 223rd ECS Meeting, Toronto, ON, Canada, 12–17 May 2013. [Google Scholar]
  26. El Dirani, H.; Solaro, Y.; Fonteneau, P.; Ferrari, P.; Cristoloveanu, S. Sharp-switching Z2-FET device in 14 nm FDSOI technology. In Proceedings of the 45th European Solid State Device Research Conference (ESSDERC), Graz, Austria, 14–18 September 2015. [Google Scholar] [CrossRef]
  27. Dirani, H.E.; Solaro, Y.; Fonteneau, P.; Ferrari, P.; Cristoloveanu, S. Properties and mechanisms of Z2-FET at variable temperature. Solid State Electron. 2016, 115, 201–206. [Google Scholar] [CrossRef]
  28. Navarro, S.; Marquez, C.; Lee, K.H.; Navarro, C.; Parihar, M.; Park, H.; Galy, P.; Bawedin, M.; Kim, Y.T.; Cristoloveanu, S.; et al. Investigation of thin gate-stack Z2 -FET devices as capacitor-less memory cells. Solid-State Electron. 2019, 159, 12–18. [Google Scholar] [CrossRef]
  29. Marquez, C.; Navarro, S.; Navarro, C.; Salazar, N.; Galy, P.; Cristoloveanu, S.; Gamiz, F. Temperature and gate leakage influence on the Z2-FET memory operation. In Proceedings of the 49th European Solid-State Device Research Conference (ESSDERC), Cracow, Poland, 23–26 September 2019. [Google Scholar] [CrossRef]
  30. El Dirani, H.; Solaro, Y.; Fonteneau, P. A sharp-switching gateless device (Z3-FET) in advanced FDSOI technology. In Proceedings of the 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Vienna, Austria, 25–27 January 2016. [Google Scholar] [CrossRef]
  31. Solaro, Y.; Fonteneau, P. A sharp-switching device with free surface and buried gates based on band modulation and feedback mechanisms. Solid State Electron. 2016, 116, 8–11. [Google Scholar] [CrossRef]
  32. El Dirani, H.; Fonteneau, P.; Solaro, Y.; Ferrari, P.; Cristoloveanu, S. Novel FDSOI band-modulation device: Z2-FET with Dual Ground Planes. In Proceedings of the 46th European Solid-State Device Research Conference (ESSDERC), Lausanne, Switzerland, 12–15 September 2016. [Google Scholar] [CrossRef]
  33. Wann, H.J.; Hu, C. A capacitorless DRAM cell on SOI substrate. In Proceedings of the IEDM’93 Technical Digest International, Washington, DC, USA, 5–8 December 1993; IEEE: Piscataway, NJ, USA, 1993. [Google Scholar] [CrossRef]
  34. Lacord, J.; Parihar, M.S.; François, C.N.; Wakam, T.; Bawedin, M.; Cristoloveanu, S.; Gamiz, F. MSDRAM, A2RAM and Z2-FET performance benchmark for 1T-DRAM applications. In Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, TX, USA, 24–26 September 2018; IEEE: Piscataway, NJ, USA, 2018. [Google Scholar] [CrossRef]
  35. Bawedin, M.; Cristoloveanu, S.; Flandre, D. A Capacitorless 1T-DRAM on SOI Based on Dynamic Coupling and Double-Gate Operation. IEEE Electron Device Lett. 2008, 29, 795–798. [Google Scholar] [CrossRef]
  36. Hubert, A.; Bawedin, M.; Cristoloveanu, S.; Ernst, T. Dimensional effects and scalability of Meta-Stable Dip (MSD) memory effect for 1T-DRAM SOI MOSFETs. Solid-State Electron. 2009, 53, 1280–1286. [Google Scholar] [CrossRef]
  37. Rodriguez, N.; Cristoloveanu, S.; Gamiz, F. Novel Capacitorless 1T-DRAM Cell for 22-nm Node Compatible with Bulk and SOI Substrates. IEEE Trans. Electron Devices 2011, 58, 2371–2377. [Google Scholar] [CrossRef]
  38. Rodriguez, N.; Navarro, C.; Gamiz, F.; Andrieu, F.; Faynot, O.; Cristoloveanu, S. Experimental Demonstration of Capacitorless A2RAM Cells on Silicon-on-Insulator. IEEE Electon Device Lett. 2012, 33, 1717–1719. [Google Scholar] [CrossRef]
  39. Song, K.W.; Kim, J.Y.; Kim, H.; Chung, H.W.; Kim, K.; Park, H.W. A 31 ns random cycle VCAT-based 4F2 DRAM with enhanced cell efficiency. Proc. Symp. VLSI Circuits 2009, 132–133. [Google Scholar] [CrossRef]
  40. Mueller, W.; Aichmayr, G.; Bergner, W.; Erben, E.; Hecht, T. Challenges for the DRAM cell scaling to 40 nm. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 5 December 2005. [Google Scholar] [CrossRef]
  41. Eminente, S.; Cristoloveanu, S.; Clerc, R.; Ohata, A.; Ghibaudo, G.; Faynot, O.; Kernevez, N. Ultra-thin fully-depleted SOI MOSFETs: Special charge properties and coupling effects. Solid State Electron. 2007, 51, 239–244. [Google Scholar] [CrossRef]
  42. Cristoloveanu, S.; Athanasiou, S.; Bawedin, M.; Galy, P. Evidence of Supercoupling Effect in Ultrathin Silicon Layers Using a Four-Gate MOSFET. IEEE Electron Device Lett. 2017, 38, 157–159. [Google Scholar] [CrossRef]
  43. Wan, J.; le Royer, C.; Zaslavsky, A.; Cristoloveanu, S. Z2-FET used as 1-transistor high-speed DRAM. In Proceedings of the European Solid-State Device Research Conference (ESSDERC), Bordeaux, France, 17–21 September 2012. [Google Scholar] [CrossRef]
  44. Wan, J.; Royer, C.L.; Zaslavsky, A.; Cristoloveanu, S. Progress in Z2-FET 1T-DRAM: Retention time, writing modes, selective array operation, and dual bit storage. Solid-State Electron. 2013, 84, 147–154. [Google Scholar] [CrossRef]
  45. Navarro, C.; Lacord, J.; Parihar, M.S.; Adamu-Lema, F.; Duan, M.; Rodriguez, N. Extended Analysis of the Z2 -FET: Operation as Capacitorless eDRAM. IEEE Electron Device Lett. 2017, 64, 4486–4491. [Google Scholar] [CrossRef]
  46. Cristoloveanu, S.; Lee, K.H.; Parihar, M.S.; El Dirani, H. A review of the Z2-FET 1T-DRAM memory: Operation mechanisms and key parameters. Solid-State Electron. 2018, 38, 10–19. [Google Scholar] [CrossRef] [Green Version]
  47. Navarro, C.; Marquez, C.; Navarro, S.; Lozano, C. Simulation Perspectives of Sub-1V Single-Supply Z2-FET 1T-DRAM Cells for Low-Power. IEEE Access 2019, 7, 40279–40284. [Google Scholar] [CrossRef]
  48. Kang, H.; Cho, J.; Kim, Y.; Lim, D.; Woo, S.; Cho, K.; Kim, S. Nonvolatile and Volatile Memory Characteristics of a Silicon Nanowire Feedback Field-Effect Transistor with a Nitride Charge-Storage Layer. IEEE Trans. Electron Devices 2019, 66, 3342–3348. [Google Scholar] [CrossRef]
  49. Wan, J.; le Royer, C.; Zaslavsky, A.; Cristoloveanu, S. Novel bipolar-enhanced tunneling FET with simulated high on-current. Solid-State Electron. 2013, 34, 24–26. [Google Scholar] [CrossRef]
  50. Wan, J.; Le Royer, C.; Zaslavsky, A.; Cristoloveanu, S. Z2-FET: A zero-slope switching device with gate-controlled hysteresis. In Proceedings of the Technical Program of 2012 VLSI Technology, System and Application, Hsinchu, Taiwan, 23–25 April 2012. [Google Scholar] [CrossRef]
  51. Lee, K.H.; El Dirani, H.; Fonteneau, P.; Bawedin, M.; Sato, S.; Cristoloveanu, S. Sharp switching, hysteresis-free characteristics of Z2-FET for fast logic applications. In Proceedings of the 48th European Solid-State Device Research Conference (ESSDERC), Dresden, Germany, 3–6 September 2018. [Google Scholar] [CrossRef]
  52. Cho, J.; Lim, D.; Woo, S.; Cho, K.; Kim, S. Static Random Access Memory Characteristics of Single-Gated Feedback Field-Effect Transistors. IEEE Trans. Electron Devices 2019, 66, 413–419. [Google Scholar] [CrossRef]
  53. Duan, M.; Navarro, C.; Cheng, B.; Adamu-Lema, F. Thorough Understanding of Retention Time of Z2FET Memory Operation. IEEE Trans. Electron Devices 2019, 66, 383–388. [Google Scholar] [CrossRef]
  54. Woo, S.; Cho, J.; Lim, D.; Cho, K.; Kim, S. Transposable 3T-SRAM Synaptic Array Using Independent Double-Gate Feedback Field-Effect Transistors. IEEE Trans. Electron Devices 2019, 66, 4753–4758. [Google Scholar] [CrossRef]
  55. Kwon, M.; Park, K.; Baek, M.; Lee, J.; Park, B. A Low-Energy High-Density Capacitor-Less I&F Neuron Circuit Using Feedback FET Co-Integrated With CMOS. IEEE J. Electron Devices Soc. 2019, 7, 1080–1084. [Google Scholar] [CrossRef]
  56. Oh, M.; Bang, S.; Kwon, M.; Park, B. A new device characteristic model generation by machine learning. In Proceedings of the Electron Devices Technology and Manufacturing Conference (EDTM), Singapore, 12–15 March 2019. [Google Scholar] [CrossRef]
  57. Basheera, I.A.; Hajmeerb, M. Artificial neural networks: Fundamentals, computing, design, and application. J. Microbiol. Methods 2000, 3–31. [Google Scholar] [CrossRef]
  58. Kasabov, N.K. NeuCube: A spiking neural network architecture for mapping, learning and understanding of spatio-temporal brain data. Neural Netw. 2014, 52, 62–76. [Google Scholar] [CrossRef]
  59. Wijesinghe, P.; Ankit, A.; Sengupta, A.; Kaushik, R. An All-Memristor Deep Spiking Neural Computing System: A Step Toward Realizing the Low-Power Stochastic Brain. IEEE Trans. Emerg. Top. Comput. Intell. 2018, 2, 345–358. [Google Scholar] [CrossRef]
  60. Maass, W. Networks of spiking neurons: The third generation of neural network models. Neural Netw. 1997, 10, 1659–1671. [Google Scholar] [CrossRef]
  61. Indiveri. G.; Linares-Barranco. B.; Hamilton. T. J.; Schaik. A.V. Neuromorphic silicon neuron circuits. Front. Neurosci. 2011, 5, 73. [Google Scholar] [CrossRef] [Green Version]
  62. Mohemmed, A.; Schliebs, S.; Matsuda, S.; Kasabovab, N. Training spiking neural networks to associate spatio-temporal input–output spike patterns. Neurocomputing 2013, 107, 3–10. [Google Scholar] [CrossRef] [Green Version]
  63. Padilla, A.; Yeung, C.W.; Shin, C.; Hu, C.; Liu, T.-J.K. Feedback FET: A novel transistor exhibiting steep switching behavior at low bias voltages. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; IEEE: Piscataway, NJ, USA. [Google Scholar] [CrossRef]
  64. Yeung, C.W.; Padilla, A.; Liu, T.-J.K.; Hu, C. Programming characteristics of the steep turn-on/off feedback FET (FBFET). In Proceedings of the Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2009; IEEE: Piscataway, NJ, USA, 2009. [Google Scholar]
  65. Yeung, C.W. Steep On/Off Transistors for Future Low Power Electronics. Ph.D. Thesis, University of California, Berkeley, CA, USA, 18 December 2014. [Google Scholar]
  66. El Dirani, H.; Solaro, Y.; Fonteneau, P.; Legrand, C.A.; Marin-Cudraz, D.; Golanski, D.; Ferrari, P.; Cristoloveanu, S. A band-modulation device in advanced FDSOI technology: Sharp switching characteristics. Solid-State Electron. 2016, 125, 103–110. [Google Scholar] [CrossRef]
  67. Parihar, M.S.; Lee, K.H.; Park, H.J.; Lacord, J.; Martinie, S.; Barbé, J.C.; Xu, Y.; El Dirani, H.; Taur, Y.; Cristoloveanu, S.; et al. Insight into carrier lifetime impact on band-modulation devices. Solid State Electron. 2018, 143, 41–48. [Google Scholar] [CrossRef]
  68. Kim, H.; Hwang, S.; Kwon, D.W.; Lee, J.H.; Park, B.G. Back biasing effects in a feedback steep switching device with charge spacer. In Proceedings of the 2016 IEEE Silicon Nanoelectronics Workshop (SNW), Honolulu, HI, USA, 12–13 June 2016; pp. 172–173. [Google Scholar] [CrossRef]
  69. Jeon, Y.; Kim, M.; Kim, Y.; Kim, S. Switching Characteristics of Nanowire Feedback Field-Effect Transistors with Nanocrystal Charge Spacers on Plastic Substrates. ACS Nano 2014, 8, 3781–3787. [Google Scholar] [CrossRef] [PubMed]
  70. Kim, Y.; Lim, D.; Cho, J.; Kim, S. Feedback and tunneling operations of a p+-i-n+ silicon nanowire field-effect transistor. Nanotechnology 2018, 29, 435202. [Google Scholar] [CrossRef] [PubMed]
  71. Lim, D.; Kim, S. Polarity control of carrier injection for nanowire feedback field-effect transistors. Nano Res. 2019, 12, 2509–2514. [Google Scholar] [CrossRef]
  72. Lim, D.; Kim, S. Optically tunable feedback operation of silicon nanowire transistors. Semiconduct. Sci. Technol. 2019, 34, 115014. [Google Scholar] [CrossRef]
  73. Kim, Y.; Kim, S. Effect of substrates on the electrical characteristics of a silicon nanowire feedback field-effect transistor under bending stresses. Semiconduct. Sci. Technol. 2018, 33, 105009. [Google Scholar] [CrossRef]
  74. Kim, M.; Kim, Y.; Lim, D.; Woo, S.; Cho, K.; Kim, S. Steep switching characteristics of single gated feedback field-effect transistors. Nanotechnology 2016, 28, 1–8. [Google Scholar] [CrossRef]
  75. Lee, C.; Ko, E.; Shin, C. Steep Slope Silicon-On-Insulator Feedback Field-Effect Transistor: Design and Performance Analysis. IEEE Trans. Electron Devices 2018, 66, 286–291. [Google Scholar] [CrossRef]
  76. Hwang, S.; Kim, H.; Kwon, D.; Lee, J.; Park, B. Si1-xGex Positive Feedback Field-effect Transistor with Steep Subthreshold Swing for Low-voltage Operation. J. Semiconduct. Technol. Sci. 2017, 17, 216–222. [Google Scholar] [CrossRef]
  77. RaissIi, F. A brief analysis of the field effect diode and breakdown transistor. IEEE Trans. Electron Devices 1996, 43, 362–365. [Google Scholar] [CrossRef]
  78. Yang, Y.; Gangopadhyay, A.; Li, Q.; Ioannou, D.E. Scaling of the SOI field effect diode (FED) for memory application. In Proceedings of the International Semiconductor Device Research Symposium—ISDRS, College Park, MD, USA, 9–11 December 2009; IEEE: Piscataway, NJ, USA. [Google Scholar] [CrossRef]
  79. Manavizadeh, N.; Raissi, F.; Soleimani, E.A.; Pourfath, M. Performance Assessment of Nanoscale Field-Effect Diodes. IEEE Trans. Electron Devices 2011, 58, 2378–2384. [Google Scholar] [CrossRef] [Green Version]
  80. Lee, K.; Woo, S.; Cho, J.; Kang, H.; Kim, S. The hysteresis characteristic of Feedback field-effect transistors with fluctuation of gate oxide and metal gate. J. IKEEE 2018, 22, 488–490. [Google Scholar] [CrossRef]
  81. Chen, W.-C.; Lue, H.-T.; Hsiao, Y.-H.; Lu, C.-Y. A Novel Super Steep Subthreshold Slope Dual-Channel FET Utilizing a Gate-Controlled Thyristor Mode-Induced Positive Feedback Current. IEEE Trans. Electron Devices 2017, 64, 1336–1342. [Google Scholar] [CrossRef]
  82. Tura, A.; Woo, J.C.S. Performance Comparison of Silicon Steep Subthreshold FETs. IEEE Trans. Electron Devices 2010, 57, 1362–1368. [Google Scholar] [CrossRef]
  83. Kaczer, B.; Mahato, S.; de Almeida Camargo, V.V.; Toledano-Luque, M.; Roussel, P.J.; Grasser, T.; Catthoor, F.; Dobrovolny, P.; Zuber, P.; Wirth, G.; et al. Atomistic approach to variability of bias-temperature instability in circuit simulations. In Proceedings of the International Reliability Physics Symposium, Monterey, CA, USA, 10–14 April 2011; pp. 915–919. [Google Scholar] [CrossRef]
  84. Frank, D.J.; Dennard, R.H.; Nowak, E.; Solomon, P.M. Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 2001, 89, 259–288. [Google Scholar] [CrossRef]
  85. Haensch, W.; Nowak, E.J.; Dennard, R.H.; Solomon, P.M. Silicon CMOS devices beyond scaling. IBM J. Res. Dev. 2006, 50, 339–361. [Google Scholar] [CrossRef]
  86. Asenov, A.; Cheng, B.; Wang, X.; Brown, A.R.; Millar, C.; Alexander, C.; Amoroso, S.M.; Kuang, J.B.; Nassif, S.R. Variability Aware Simulation Based Design- Technology Cooptimization (DTCO) Flow in 14 nm FinFET/SRAM Cooptimization. IEEE Trans. Elect. Dev. 2015, 62, 1682–1690. [Google Scholar] [CrossRef]
  87. Cheng, B.J.; Brown, A.R.; Asenov, A. Impact of NBTI/PBTI on SRAM Stability Degradation. IEEE Electron Device Lett. 2011, 32, 740–742. [Google Scholar] [CrossRef]
  88. Duan, M.; Zhang, J.F.; Manut, A.; Ji, Z.; Zhang, W.; Asenov, A.; Gerrer, L.; Reid, D.; Razaidi, H.; Vigar, D.; et al. Hot carrier aging and its variation under use-bias: Kinetics, prediction, impact on Vdd and SRAM. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; IEEE: Piscataway, NJ, USA. [Google Scholar] [CrossRef] [Green Version]
  89. Duan, M.; Zhang, J.F.; Ji, Z.; Zhang, W.D.; Kaczer, B.; Schram, T.; Ritzenthaler, R.; Groeseneken, G.; Asenov, A. Development of a technique for characterizing bias temperature instability-induced device-to-device variation at SRAM-relevant conditions. IEEE Trans. Electron Devices 2014, 61, 3081–3089. [Google Scholar] [CrossRef]
  90. Yoshida, E.; Tanaka, T. A capacitorless 1T-DRAM technology using gate-induced drain-leakage (GIDL) current for low-power and high-speed embedded memory, IEEE Trans. Electron Devices 2006, 53, 692–697. [Google Scholar] [CrossRef]
  91. Kwon, M.W.; Baek, M.H.; Hwang, S.; Park, K.; Jang, T.; Kim, T.; Lee, J.; Cho, S.; Park, B.G. Integrate-and-fire neuron circuit using positive feedback field effect transistor for low power operation. J. Appl. Phys. 2018, 124, 152107. [Google Scholar] [CrossRef]
Figure 1. (a,b) Illustrated device structure of a P-I-N feedback field-effect transistor (FBFET) with trap-charged spacers, (c) its cross-sectional view along the channel direction, and (d) the corresponding energy band diagram for the FBFET [63].
Figure 1. (a,b) Illustrated device structure of a P-I-N feedback field-effect transistor (FBFET) with trap-charged spacers, (c) its cross-sectional view along the channel direction, and (d) the corresponding energy band diagram for the FBFET [63].
Applsci 10 03070 g001
Figure 2. (a) Energy band diagram and (b) current-voltage characteristics of P-I-N diode and FBFET. Note that the anode/cathode of the P-I-N diode is corresponding to the source/drain of the FBFET.
Figure 2. (a) Energy band diagram and (b) current-voltage characteristics of P-I-N diode and FBFET. Note that the anode/cathode of the P-I-N diode is corresponding to the source/drain of the FBFET.
Applsci 10 03070 g002
Figure 3. Positive feedback mechanism: the operational principles are divided into two steps [65].
Figure 3. Positive feedback mechanism: the operational principles are divided into two steps [65].
Applsci 10 03070 g003
Figure 4. Illustration for positive feedback mechanism. (A) Collapse (ΔZ) occurs in the potential barrier next to the P+ region through a negative gate bias (VG0*) and drain bias (VD0), (B) collapse (ΔY) occurs in the potential barrier next to the N+ region through a positive gate bias (VG0) and drain bias (VD0), (C) energy band diagram of the transistor that is turned on through a positive feedback loop [63,64,65].
Figure 4. Illustration for positive feedback mechanism. (A) Collapse (ΔZ) occurs in the potential barrier next to the P+ region through a negative gate bias (VG0*) and drain bias (VD0), (B) collapse (ΔY) occurs in the potential barrier next to the N+ region through a positive gate bias (VG0) and drain bias (VD0), (C) energy band diagram of the transistor that is turned on through a positive feedback loop [63,64,65].
Applsci 10 03070 g004
Figure 5. Structure and energy band diagram for band-modulation devices: (a) FED (field effect diode), (b) Z2-FET (zero-impact ionization and zero subthreshold swing FET), and (c) Z3-FET (zero subthreshold swing, zero-impact ionization, and zero gate FET) with bias for virtual potential barriers [19].
Figure 5. Structure and energy band diagram for band-modulation devices: (a) FED (field effect diode), (b) Z2-FET (zero-impact ionization and zero subthreshold swing FET), and (c) Z3-FET (zero subthreshold swing, zero-impact ionization, and zero gate FET) with bias for virtual potential barriers [19].
Applsci 10 03070 g005
Figure 6. Structure and energy band diagram for the dual gate FBFET with PN junction barriers [75].
Figure 6. Structure and energy band diagram for the dual gate FBFET with PN junction barriers [75].
Applsci 10 03070 g006
Figure 7. ID-VG curve for 30–50 nm channel lengths when 1 V is applied to the drain (left), and for a 0.9–1.1 V drain bias with an LCH of 40 nm (right) [75].
Figure 7. ID-VG curve for 30–50 nm channel lengths when 1 V is applied to the drain (left), and for a 0.9–1.1 V drain bias with an LCH of 40 nm (right) [75].
Applsci 10 03070 g007
Figure 8. Illustration of an FBFET-based inverter circuit.
Figure 8. Illustration of an FBFET-based inverter circuit.
Applsci 10 03070 g008
Figure 9. Illustration of a capacitorless dynamic random-access memory (DRAM) circuit composed of an FBFET [43,44].
Figure 9. Illustration of a capacitorless dynamic random-access memory (DRAM) circuit composed of an FBFET [43,44].
Applsci 10 03070 g009
Figure 10. Illustrated waveform of a capacitor-less DRAM’s operation. The DRAM is composed of a Z2-FET with VBG = 2 V [43,44].
Figure 10. Illustrated waveform of a capacitor-less DRAM’s operation. The DRAM is composed of a Z2-FET with VBG = 2 V [43,44].
Applsci 10 03070 g010
Figure 11. Diagram of a capacitor-less DRAM equivalent circuit with logic values “0” and “1” in three states: writing, holding, and reading (logic). The arrow line at Hold “0” corresponds to the leakage current of the reverse-biased drain junction limiting the hold time [43].
Figure 11. Diagram of a capacitor-less DRAM equivalent circuit with logic values “0” and “1” in three states: writing, holding, and reading (logic). The arrow line at Hold “0” corresponds to the leakage current of the reverse-biased drain junction limiting the hold time [43].
Applsci 10 03070 g011
Figure 12. Illustrated 2T-static random-access memory (SRAM) circuit composed of FBFET [52].
Figure 12. Illustrated 2T-static random-access memory (SRAM) circuit composed of FBFET [52].
Applsci 10 03070 g012
Figure 13. (a) Illustration of pattern classification with a spiking neural network and (b) the proposed neuron circuit diagram for a capacitorless structure with FBFETs for “integration-and-fire” [55,91]. (c,d) Transient currents flowing through the trigger device in three different neurons when the same number of input pulses are provided to the neuron circuit [21].
Figure 13. (a) Illustration of pattern classification with a spiking neural network and (b) the proposed neuron circuit diagram for a capacitorless structure with FBFETs for “integration-and-fire” [55,91]. (c,d) Transient currents flowing through the trigger device in three different neurons when the same number of input pulses are provided to the neuron circuit [21].
Applsci 10 03070 g013
Table 1. Comparison of various FBFET structures.
Table 1. Comparison of various FBFET structures.
Ref. No.StructurePotential Barrier TypeChannel Length (nm)SS at 300 K
(mV/Decade)
VDD
(V)
Ion
(A/μm)
Ion/IoffYear
[63]P+I-Si-N+
Trap charge spacers
FinFET
Trap charged310–1000~21.2~10−4~1072008
[64]P+I-Si-N+
Trap charge spacers
FinFET
Trap charged350–4000.351.25~1~1062009
[23]P+I-Si-N+
Trap charge spacers
FDSOI with back gate
Trap charged 200–500~11–1.5~10−3~1082012
[69]P+I-Si-N+
Trap charge spacers
Si NWFET
Trap charged40018.41~10−6~1052014
[74]P+N+I-Si-N+
Si NWFET
PN, N-I Junction50~3000.0051~10−4~10112016
[76]P+I-Si-N+
Trap charge spacers
FinFET
Si/si0.7Ge0.3
P-I, N-I Junction/Trap charged10003.79 (Si FBFET)
2.87 (Si0.7Ge0.3 FBFET)
1~10−5 (Si FBFET)
~10−2
(Si0.7Ge0.3 FBFET)
~109 (Si FBFET)
1012 (Si0.7Ge0.3 FBFET)
2017
[70]P+I-Si-N+
Si NWFET
Gate bias6006.152~10−6~1062018
[75]P+N+P+N+
FinFET
PN Junction40<11~10−5~1092018
[71]P+I-Si-N+
Si NWFET
Gate bias400P-type 1.78
N-type 1.36
1~10−7P-type ~105
N-type ~105
2019
[72]P+I-Si-N+
Si NWFET
Gate bias5003.71~10−7~1052019

Share and Cite

MDPI and ACS Style

Lee, C.; Sung, J.; Shin, C. Understanding of Feedback Field-Effect Transistor and Its Applications. Appl. Sci. 2020, 10, 3070. https://doi.org/10.3390/app10093070

AMA Style

Lee C, Sung J, Shin C. Understanding of Feedback Field-Effect Transistor and Its Applications. Applied Sciences. 2020; 10(9):3070. https://doi.org/10.3390/app10093070

Chicago/Turabian Style

Lee, Changhoon, Juho Sung, and Changhwan Shin. 2020. "Understanding of Feedback Field-Effect Transistor and Its Applications" Applied Sciences 10, no. 9: 3070. https://doi.org/10.3390/app10093070

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop