Next Article in Journal
Effect of Additives on Tribological Performance of Magnetorheological Fluids
Next Article in Special Issue
Interaction of Negative Bias Instability and Self-Heating Effect on Threshold Voltage and SRAM (Static Random-Access Memory) Stability of Nanosheet Field-Effect Transistors
Previous Article in Journal
Geometry-Dependent Elastic Flow Dynamics in Micropillar Arrays
Previous Article in Special Issue
RC-Effects on the Oxide of SOI MOSFET under Off-State TDDB Degradation: RF Characterization and Modeling
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Review of Reliability in Gate-All-Around Nanosheet Devices

by
Miaomiao Wang
IBM Research Albany, 257 Fuller Road, Albany, NY 12203, USA
Micromachines 2024, 15(2), 269; https://doi.org/10.3390/mi15020269
Submission received: 16 January 2024 / Revised: 6 February 2024 / Accepted: 6 February 2024 / Published: 13 February 2024
(This article belongs to the Special Issue Reliability Issues in Advanced Transistor Nodes)

Abstract

:
The gate-all-around (GAA) nanosheet (NS) field-effect-transistor (FET) is poised to replace FinFET in the 3 nm CMOS technology node and beyond, marking the second seminal shift in device architecture across the extensive 60-plus-year history of MOSFET. The introduction of a new device structure, coupled with aggressive pitch scaling, can give rise to reliability challenges. In this article, we present a review of the key reliability mechanisms in GAA NS FET, including bias temperature instability (BTI), hot carrier injection (HCI), gate oxide (Gox) time-dependent dielectric breakdown (TDDB), and middle-of-line (MOL) TDDB. We aim to not only underscore the unique reliability attributes inherent to NS architecture but also provide a holistic view of the status and prospects of NS reliability, taking into account the challenges posed by future scaling.

1. Introduction

Vertically stacked GAA NS FET, also known as multi-bridge-channel FET [1,2,3,4] and GAA nano-ribbon FET [5], represents a significant leap forward from traditional planar and FinFET devices as it offers superior electrostatics, alleviates short channel effects, provides higher effective device width per footprint, and allows flexibility in power and performance tuning with variable sheet width enabled by single-exposure EUV lithography [6,7,8,9].
The advent of GAA NS structure has not only inherited the existing reliability degradation mechanisms found in its planar and FinFET predecessors but has also introduced reliability vulnerabilities unique to its design [10,11,12,13]. Given that NS technology is progressing towards mass production and widespread industrial application, a thorough review of the NS reliability becomes imperative. This review article synthesizes the recent studies on NS reliability through both simulation and experimental methods, with the objective of giving the readers an in-depth comprehension of the unique reliability characteristics specific to NS structure as well as an all-encompassing overview of the key reliability mechanisms in GAA NS FET. We hope to shed light on areas where optimization and innovation are needed for reliability enhancement, paving the way for continued scaling and advancement of NS technology from a reliability standpoint.
The remainder of this paper is structured as follows: we begin in Section 2 by providing an extensive exploration of the specific design features of NS device architecture, including conduction surface orientation, Si channel geometry, GAA structure, and inner spacer positioned between gate and source/drain epitaxy. We analyze how these architectural aspects influence the reliability of NS FET. In Section 3, we conduct an in-depth review on each of the transistor reliability mechanisms in NS, encompassing BTI, HCI and self-heating effect (SHE), Gox TDDB, and MOL TDDB, and draw comparisons with the known reliability aspects of FinFET and planar device architectures. Gaps and challenges identified from current research works and suggestions for future research directions are discussed in Section 4. Finally, we summarize the key learnings and insights gained from this review in Section 5.

2. Structural Features of Nanosheet Architecture and Their Effects on Reliability

Figure 1 shows schematics of (a) a planar FET, (b) a FinFET, (c) a bulk GAA NS FET with three Si channels stacked vertically, and (d) a source-drain region cut of the bulk GAA NS FET, highlighting key components with potential impacts on reliability. In the following part of this section, we will explore how those specific components marked with blue text in Figure 1, namely conduction surface orientation, Si channel geometry, vertically stacked GAA structure, inner spacer isolation between gate and source/drain epitaxy, affect the reliability of nanosheet devices.

2.1. Conduction Surface Orientation

Carrier transport in planar devices is through (100) surface orientation. Contrastingly, in FinFET, carrier conduction primarily takes place through (110) sidewalls, complemented by the (100) Fin top. The predominant approach for nanosheet fabrication is to construct them on a (100) bulk Si wafer [6,7], featuring conduction mainly through (100) surface orientation of sheet top and bottom in addition to (110) side walls and arcuated corners [7,10].
GAA NS devices were fabricated on both (100) and (110) surface orientations [14], as illustrated in Figure 2. Initial interface trap densities (Dit) of GAA NS devices were extracted with AC conductance methods [15,16,17] and plotted in Figure 3. The median Dit of more than 10 NS devices on the (100) top surface is roughly 3.4 × 1010 cm−2 eV−1 in contrast to 9.3 × 1010 cm−2 eV−1 for NS devices with (110) top surface [14]. Figure 4 illustrates the comparison of NBTI degradation as a function of stress gate voltage (VGS) between GAA NS with (100) and (110) top surface orientations. Briefly, >1.5× worse NBTI degradation after 1000-second (s) of stress at −1.2 V was observed in NS dominated by (110) conduction, attributed to higher silicon-hydrogen (Si-H) bond density in (110) compared to (100) surface orientation [10,14]. A slightly higher activation energy (Ea) of NBTI, ~0.18 eV, was observed in Ref. [10] than in FinFET, and a higher Ea of 0.15 eV was reported in NS with (100) than that of 0.13 eV in (110) surface orientation (Figure 5) [14], owning to the different temperature dependence between hole trapping and interface trap components.
AC NBTI in NS with (100) and (110) surface orientations during alternating stress and recovery cycles are compared for different sensing delays of 1 ms and 10 ms in Figure 6 [14]. It is worth pointing out that 1.5× worse NBTI degradation is observed in NS with (110) top surface compared to (100) with both 1 ms and 10 ms sensing delay, right after 1000 s of stress and after 1000 s of recovery, showing not only higher interface trap generation but also more severe hole trapping components in (110) NS than (100).
Surface orientation effects on HCI reliability are shown in Figure 7 and Figure 8 for GAA NS nFETs and pFETs, respectively [18]. nFETs exhibit similar levels of hot carrier degradation (HCD) across both (100) and (110) surface orientations. However, pFETs show notably more severe HCD with (110) top surface than (100). The ratio of mean pFET HCD in NS with (110) top surface to that in NS with (100) top surface, HCD_110:HCD_100, is more than 4× after −1.2 V drain voltage (VDS) stress for 1000 s under high-Vg stress conditions. HCD_110:HCD_100 is more than 3× after −1.5 V VDS stress for 1000 s under mid-Vg stress conditions. The stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. The stress gate voltage is roughly between 0.5× and 0.7× of the stress drain voltage for Mid-Vg stress conditions.
It is important to note that HCD depends highly on current levels [19]. Ref. [20] reported higher electron mobility (~195 vs. ~105 cm2 V−1 s−1 in peak mobility) and lower hole mobility (~73 vs. ~174 cm2 V−1 s−1 in peak mobility) in (100) than (110) surface orientation for the HfO2 gate dielectric with an interfacial layer of less than 10 angstroms. The current in nFETs with the (100) surface tends to be higher than in its (110) counterpart under the same stress voltage, while the opposite is true for pFETs. Therefore, it can be concluded that HCI reliability for both NS nFETs and pFETs is generally inferior in (110) compared to (100), due to a higher Si-H bond density, leading to more interface trap generation during HCI stress.

2.2. Si Channel Geometry

2.2.1. Impact of Tsi on Reliability and Corner Field Crowding Effect

The Si channel geometry effect on NS reliability was first observed experimentally and reported in [10]. The deterioration of PBTI and NBTI at thinner Tsi, especially for Tsi below 7 nm, was explained by the large curvature-induced corner field crowding effect [10,21]. Cross-Fin TEM images of GAA NS FETs with Tsi of 5 nm and 8 nm [10] are illustrated in Figure 9, roughly corresponding to the curvature ranges of 25~50% and 75~100%, respectively, as defined in [22] (Figure 10).
Three points are worth noting here: (1) The peak corner field dependence on curvature range reported in [22] is from the TCAD simulation of NS with different structure profiles but the same Tsi, highlighting the importance of NS corner and sidewall profile optimization for reliability improvement. (2) Although the vertical field enhancement at sheet corners is reduced when transitioning from 75% to 100% of curvature range, the proportion of the channel affected by field crowding expands, eventually encompassing the entire sidewall region. (3) Further reduction of Tsi after the 100% curvature range has been reached will result in a sharp increase in the vertical electric field, attributable to the shrinking of the radius in the curved region [21]. In addition to the higher electric field at corners than the flat sheet top and bottom, Si-H bonds, the defect precursors, are more vibrationally excited and thus easier to break, leading to higher interface trap generation at the curved regions [23].
For the same rationale as above, HCI reliability in NS degrades at thinner Tsi, as shown in Figure 11 and Figure 12, respectively [18]. For nFETs, ~1.7× of HCD is observed in NS with 4 nm of Tsi compared to that in NS with 6 nm of Tsi after high-Vg stress at 1.1 V VDS for 1000 s and mid-Vg stress at 1.3 V VDS for 1000 s. For pFETs, ~1.3× of HCD is observed in NS with 4 nm of Tsi compared to that in NS with 6 nm of Tsi after high-Vg stress at −1.2 V stress drain voltage for 1000 s. The observed inconsistency in the trend of HCI vs. Tsi at −1.3 V in pFETs can be attributed to the non-negligible contribution of electron trapping, which is more prominent compared to hole trapping and the generation of interface states at lower stress voltages. Note that the drastic oxide field increase at sheet corners will also affect TDDB reliability in NS [22].

2.2.2. Impact of Wsheet on Reliability

Slightly degraded NBTI reliability at a narrower Wsheet is reported in NS devices fabricated on (100) substrate [10,14,24], which can be attributed to a higher contribution from (100) surface orientation and higher compressive strain at a wider Wsheet [24].
HCI reliability’s dependence on Wsheet in NS is influenced by two competing mechanisms that have conflicting effects. On the one side, the NS FET of wider sheets has a higher current and more intense self-heating effect (SHE) under the same stress condition, both contributing to an increase in HCD [12,18,25]. On the other side, the contribution from the flat areas of the sheets becomes more significant than that from the corners at wider Wsheet. HCDs in NS nFETs and pFETs with two different Wsheets are depicted in Figure 13 and Figure 14, respectively. Slightly higher HCD was observed at a wider Wsheet in both NS nFETs (1.6~2.1× of that in the narrower Wsheet under high-Vg conditions and 1~1.3× of that in narrower Wsheet under mid-Vg conditions) and pFETs (1.2~1.6× of that in the narrower Wsheet), suggesting a higher impact from the elevated current and enhanced self-heating effect [18].

2.3. Gate-All-Around Architecture

The continued scaling of FinFET technology beyond the 3 nm node encountered significant performance and scaling hurdles [7,26,27]. These challenges have motivated a shift from the tri-gate architecture to a vertically stackable GAA structure [27,28,29,30,31,32,33,34], aiming to mitigate short channel effects more efficiently while simultaneously boosting performance.
Among various GAA structures, NS has emerged as the leading choice and has been selected as the successor to FinFET, attributed to the higher performance achievable through wider sheets, the fabrication capability with minimal deviation from the established FinFET process, and the mitigation of some patterning complexities inherent in scaled technologies [1,2,3,4,5,6,7]. Figure 1c, d depict how multiple thin Si sheets are vertically stacked, on one top of another in a bulk NS device, to offer performance advantages over FinFET. As implied by the term “gate-all-around”, each of the Si channels in NS is encircled by high-k metal gate stacks, including an interfacial oxide (IL), a high-k dielectric layer, and the work function metals [6].
Despite the superior gate control and performance, the vertically stacked GAA structure results in increased thermal confinement, primarily due to the absence of a direct bulk connection to the Si channels and the poor thermal conductivity of the IL/high-k layers surrounding these Si channels [12]. Numerous studies from academic and industrial sources have observed a more pronounced SHE in GAA NS than in FinFET, as is evidenced by both simulation and experimental data [12,25,35,36,37,38,39,40]. SHE challenges are intensified in NS designs that feature wider and thicker sheets, and a higher count of vertically stacked Si channels [12,25,36,37,38,39]. While the transition from FinFET to NS technology may bring less thermal concern than the shift from planar to FinFET and affects only a limited portion of the circuits [12], precise thermal modeling remains crucial in NS technology for accurate HCI reliability evaluation [25,36,37,38,39].
The GAA structure is anticipated to result in a higher carrier trapping probability, subsequently leading to deteriorated HCI reliability. In GAA NS, carriers moving in all directions have the potential to be injected and become trapped in the gate oxide. In contrast, this occurs only in three directions in FinFET and just one direction in planar device [41].

2.4. Inner Spacer for Gate and Source/Drain Isolation

The inner spacer, the isolation between the gate and epitaxial source/drain, is a distinctive structural feature of NS FETs [6,11]. Inner spacer TDDB represents a critical reliability challenge unique to NS architecture. This issue primarily stems from the difficulties in controlling the inner spacer thickness and shape, coupled with the urgent requirement to reduce the inner spacer thickness and lower the dielectric constant (k) of the inner spacer material to enhance performance. Figure 15 illustrates the moon-shaped profile of the inner spacer in NS devices [11], which is likely to pose a higher risk of TDDB and an increased leakage concern compared to that of the top spacer situated between the poly control gate (PC) and diffusion contact (CA). Efforts in process development have been increasingly focused on achieving a more square-shaped inner spacer with improved uniformity [42], beneficial for both device performance and reliability.

2.5. Summary

Architectural elements discussed in Section 2 and their potential impact on NS device reliability is summarized in Table 1.

3. Transistor Reliability Mechanisms in Gate-All-Around Nanosheets

Recently, there has been a surge in publications exploring device reliability in NS [22,23,24,25,36,37,38,39,44,45,46]. The consensus across these studies is that the majority of the fundamental degradation mechanisms in NS devices, such as BTI, HCI, Gate oxide TDDB, and PC to CA TDDB, are similar to those in FinFET and planar devices, and governed by the same underlying physics and kinetics. Nevertheless, the unique attributes of NS, such as surface orientation and Si channel geometry, discussed in a previous section, exert a modifying effect on these degradation mechanisms.

3.1. BTI Reliability in NS Devices

3.1.1. PBTI Reliability in NS nFETs

The shift from planar to FinFET technology has led to a significant improvement in PBTI reliability [41], owing to the decreased vertical electric field in the fully depleted device structure of FinFET compared to the bulk planar device. The PBTI advantage in FinFET over the planar device is retained when transitioning to NS technology, thanks to the preservation of the thin Si channels and thus the fully depleted device structure [6,7]. A minimal impact on PBTI reliability is anticipated from the variation in surface orientations between (100) and (110), as electron trapping is the predominant degradation mechanism, and no interface state generation is expected under moderate PBTI stress voltages. Consequently, as reported in Refs. [10,39], PBTI reliability in NS technology is comparable to that in FinFET, posing a low level of risk or concern. Note that the reduced vertical electric field in the fully depleted device structure will help to alleviate HCI and TDDB concerns in NS FET, as in FinFET [41].

3.1.2. NBTI Reliability in NS pFETs

The move from planar devices to FinFETs saw a degradation in NBTI reliability, linked to the greater density of Si-H bonds and subsequently a higher rate of interface trap generation on the (110) sidewalls of FinFETs compared to the (100) surface in planar devices. Ref. [10] showed that NS exhibited comparable or better NBTI reliability compared to FinFET. Ref. [39] reported a notable, ~20% NBTI reliability improvement in their 3 nm MBCFETs than in the 4 nm and 8 nm FinFETs. Both observed improvements were attributed to the influence from surface orientation.
The NBTI reliability of NS pFETs with SiGe substrate was also investigated and compared with NS pFETs with Si substrate in [46], demonstrating that an improved NBTI in SiGe channel compared to Si can be achieved in NS pFETs with appropriate process optimization. Better NBTI reliability in the SiGe channel has been widely reported in planar and FinFET devices [47,48,49,50,51,52,53] and was attributed to compressive strain, and less accessible defects to holes in the SiGe channel.

3.2. HCI Reliability

Figure 16 illustrates a typical evaluation of ΔIdsat (%) with stress time during HCI stresses for GAA NS nFETs with a gate length of 12 nm [6,18].
Similar to planar devices and FinFETs [54,55,56,57,58,59,60,61], HCD in NS nFETs involves interface trap generation and electron trapping. NS nFET HCD was modeled by power law voltage and time dependence in [18]. Power law fits of ΔIdsat% versus stress time curves at various stress conditions give the time exponent (n) in the range of 0.2~0.55, with the median values of 0.25~0.4 from multiple devices at each stress condition [18]. Both voltage acceleration exponent (VAE) and n are expected to decrease with the increasing ratio of VGS to VDS voltages.
Representative time evolutions of HCD in NS pFETs under high-Vg and Mid-Vg stress conditions are shown in Figure 17. Note that Mid-Vg HCD in NS pFETs at low stress drain voltages no longer follows power law time dependence and is dominated by electron trapping for a short stress time, causing a current increase in contrast to the current decrease resultant from interface state generation and hole trapping [62,63].
Kim et al. reported comparable nFET HCD and worse pFET HCD in their 3 nm GAA MBCFET compared to 4 nm FINFET technology without self-heating correction [39]. After self-heating correction, the nFET HCD in 3 nm MBCFETs was slightly better than that in 4 nm FinFETs, thanks to the lower Id at reduced Vdd, and pFET HCI was comparable in 3 nm MBCFETs to 4 nm FinFETs [39].

3.3. Gate Oxide TDDB

Zhou et al. showed in Ref. [44] (Figure 18) that Gox TDDB in GAA NS follows Weibull statistics and Poisson area scaling with β in the range of 1.1~1.8, demonstrating robust Gox TDDB reliability in both NS nFETs and pFETs with different dipole sources.
Kim et al. also exhibited comparable Gox TDDB reliability in 3 nm MBCFETs as in 4 nm and 8 nm FinFETs with similar Weibull β distributions because of the similar EOT of those technology nodes [39].

3.4. MOL TDDB

The pressing need for contacted poly pitch (CPP) scaling underscores the urgency to scale both the inner spacer and top spacer thicknesses. PC to CA TDDB is reported in Ref. [39] to become worse in 3 nm MBCFETs than 4 nm and 8 nm FinFETs, mainly due to the reduction in thickness.
The conventional PC-CA TDDB test structure is built on top of the shallow trench insulator (STI) to deconvolute the impact from Gox breakdown. In contrast, the PC to Epi (inner spacer) TDDB test structure requires Si channels for source/drain epitaxial growth and needs to be built in an active region. Shen et al. proposed a novel integration scheme to evaluate inner TDDB [11] with the key process steps listed below [6,7,11]. Schematics after steps 4, 5, 6, and 7 are illustrated in Figure 19 [11].
  • A stack of SiGe and Si layers are epitaxially grown on the Si substrate.
  • NS Fin revealed after Fin and STI formation.
  • Dummy gate formation and inner spacer and junction formation.
  • Dummy gate pull and sacrificial SiGe channel in between Si sheets are etched out.
  • Si channel trimming to ensure final SiO2 thickness is closer to original Si thickness.
  • Complete channel oxidation to avoid impact from gate oxide TDDB.
  • HKMG formation.
By fully oxidizing the silicon channel to push the breakdown of Gox to a much higher voltage than that of inner spacer, the Vmax of 1.3 V and Emax of 3 MV/cm are projected for the inner spacer TDDB at 125 °C with a 2500 m run length and 100 ppm failure rate [11].
In Figure 20, using the β value, the time to 63% fail (T63%), VAE reported in [11,44], the time to failure of Gox nFETs and the inner spacer are projected to a specified failure rate and target area (for Gox) and run length (for inner spacer), and plotted as a function of stress voltage. Due to the shallower β and lower VAE, the inner spacer of NS is more prone to failure compared to gate oxide at voltage closing to standard operating conditions, especially when a stringent low failure rate target is required. Scaling the inner spacer thickness for future technology nodes poses significant challenges to TDDB reliability. Achieving uniformity in both thickness and shape and the profile optimization of the inner spacer are crucial for success in this endeavor [11,42].

3.5. Summary

Key modeling parameters for transistor reliability mechanisms in GAA NS reported in the recent literature are summarized in Table 2 and Table 3, below.

4. Reliability Challenges in NS FETs and Gaps for Future Learning

Based on the discussions earlier, MOL TDDB, especially inner spacer TDDB, presents significant reliability challenges in NS technology. Process innovation in inner spacer shape optimization, uniformity control, and material innovation for enhanced TDDB robustness at lower k are essential, particularly in the context of pushing the boundaries of continuous scaling in NS technology.
As channel lengths are reduced while the current increases, HCI is expected to worsen, posing considerable concern for future scaling.
Despite the recent surge in reliability research for NS devices, there remain areas and aspects where studies are either lacking or absent. Notably, this includes investigations into the TDDB reliability of substrate isolation and its impact (Figure 21) on NS reliability and thermal property [6,7,9], the effect of Tsus, which refers to the spacing between Si channels, the impact of multi-Vt and dipole processes on BTI and HCI reliability, the reliability impact from quantum confinement [6,9,64,65], reliability variability and concerns arising from the non-uniformity of thermal and electrical properties across different sheets [66], and inner spacer and top spacer reliability with different spacer materials and MOL integration schemes. These under-explored areas are critical for a more comprehensive understanding of NS reliability.

5. Conclusions

In this article, we conduct an exhaustive review of the device reliability mechanisms in vertically stacked GAA NS FETs. We reveal that, apart from the novel failure mode of inner spacer TDDB, conventional reliability degradation mechanisms, such as BTI, HCI, gate oxide TDDB, and PC to CA TDDB in NS devices are akin to those in FinFET and planar architectures. We highlight the significant influence of Si channel geometry and the profile of corners and sidewalls on NS reliability, underlining the importance of considering reliability factors in the design of the NS process and structure. We pinpoint inner spacer TDDB, PC to CA TDDB, and HCI as major hurdles for the continued scaling and advancement of NS technology. Furthermore, we suggest areas for future exploration to encompass the full spectrum of reliability vulnerabilities in NS technology.

Funding

This research received no external funding.

Data Availability Statement

Not applicable.

Acknowledgments

The author wants to thank Craig Child, Ernest Wu, Huimei Zhou at IBM Research, Albany, for thoughtful discussions.

Conflicts of Interest

The author declares no conflicts of interest. M. Wang is an employee of IBM. The paper reflects the views of the scientist and not the company.

Abbreviations

The following abbreviations are used in this manuscript:
GAAGate-all-around
NSNanosheet
FETField effect transistor
BTIBias temperature instability
HCIHot-carrier injection
GoxGate oxide
TDDBTime-dependent dielectric breakdown
MOLMiddle-of-line
Si-HSilicon-Hydrogen
HCDHot-carrier-degradation
PBTIPositive bias temperature instability
NBTINegative bias temperature instability
PCPoly control gate
CADiffusion contact

References

  1. Lee, S.-Y.; Kim, S.-M.; Yoon, E.-J.; Oh, C.-W.; Chung, I.; Park, D.; Kim, K. A novel multibridge-channel MOSFET (MBCFET): Fabrication technologies and characteristics. IEEE Trans. Nanotechnol. 2003, 2, 253–257. [Google Scholar]
  2. Lee, S.-Y.; Kim, S.-M.; Yoon, E.-J.; Oh, C.-W.; Chung, I.; Park, D.; Kim, K. Three-dimensional MBCFET as an ultimate transistor. IEEE Electron. Device Lett. 2004, 25, 217–219. [Google Scholar] [CrossRef]
  3. Bae, G.; Bae, B.-I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3 nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar]
  4. Jeong, J.; Lee, S.-H.; Masuoka, S.-A.; Min, S.; Lee, S.; Kim, S.; Myung, T.; Choi, B.; Sohn, C.-W.; Kim, S.W.; et al. World’s First GAA 3nm Foundry platform Technology (SF3) with Novel Multi-Bridge-Channel-FET (MBCFET™) Process. In Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11–16 June 2023; pp. 1–2. [Google Scholar]
  5. Huang, C.-Y.; Dewey, G.; Mannebach, E.; Phan, A.; Morrow, P.; Rachmady, W.; Tung, I.-C.; Thomas, N.; Alaan, U.; Paul, R. 3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 20.6.1–20.6.4. [Google Scholar]
  6. Mukesh, S.; Zhang, J. A Review of the Gate-All-Around Nanosheet FET Process Opportunities. Electronics 2022, 11, 3589. [Google Scholar] [CrossRef]
  7. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.-W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar]
  8. Bao, R.; Durfee, C.; Zhang, J.; Qin, L.; Rozen, J.; Zhou, H.; Li, J.; Mukesh, S.; Pancharatnam, S.; Zhao, K.; et al. Critical elements for next generation high performance computing nanosheet technology. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 26.3.1–26.3.4. [Google Scholar]
  9. Zhang, J.; Frougier, J.; Greene, A.; Miao, X.; Yu, L.; Vega, R.; Montanini, P.; Durfee, C.; Gaul, A.; Pancharatnam, S.; et al. Full bottom dielectric isolation to enable stacked nanosheet transistor for low power and high performance applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.6.1–11.6.4. [Google Scholar]
  10. Wang, M.; Zhang, J.; Zhou, H.; Southwick, R.G.; Chao, R.-H.; Miao, X.; Basker, V.S.; Yamashita, T.; Guo, D.; Karve, G.; et al. Bias temperature instability reliability in stacked gate-all-around nanosheet transistor. In Proceedings of the 2019 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 31 March 2019–4 April 2019; pp. 1–6. [Google Scholar]
  11. Shen, T.; Watanabe, K.; Zhou, H.; Belyansky, M.; Stuckert, E.; Zhang, J.; Greene, A.; Basker, V.; Wang, M. A new technique for evaluating stacked nanosheet inner spacer TDDB reliability. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 1–5. [Google Scholar]
  12. Landon, C.; Jiang, L.; Pantuso, D.; Meric, I.; Komeyli, K.; Hicks, J.; Schroeder, D. Localized thermal effects in Gate-all-around devices. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–5. [Google Scholar]
  13. Choudhury, N.; Sharma, U.; Zhou, H.; Southwick, R.G.; Wang, M.; Mahapatra, S. Analysis of BTI, SHE Induced BTI and HCD Under Full V G/V D Space in GAA Nano-Sheet N and P FETs. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April 2020–30 May 2020; pp. 1–6. [Google Scholar]
  14. Zhou, H.; Wang, M.; Zhang, J.; Watanabe, K.; Durfee, C.; Mochizuki, S.; Bao, R.; Southwick, R.; Bhuiyan, M.; Veeraraghavan, B. NBTI Impact of Surface Orientation in Stacked Gate-All-Around Nanosheet Transistor. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 1–6. [Google Scholar]
  15. Nicollian, E.H.; Goetzberger, A. The si-sio, interface–electrical properties as determined by the metal-insulator-silicon conductance technique. Bell Syst. Tech. J. 1967, 46, 1033–1055. [Google Scholar] [CrossRef]
  16. Vogel, E.M.; Henson, W.K.; Richter, C.A.; Suehle, J.S. Limitations of conductance to the measurement of the interface state density of MOS capacitors with tunneling gate dielectrics. IEEE Trans. Electron Devices 2000, 47, 601–608. [Google Scholar] [CrossRef]
  17. Carter, R.J.; Cartier, E.; Kerber, A.; Pantisano, L.; Schram, T.; De Gendt, S.; Heyns, M. Passivation and interface state density of SiO2/HfO2-based/polycrystalline-Si gate stacks. Appl. Phys. Lett. 2003, 83, 533–535. [Google Scholar] [CrossRef]
  18. Wang, M. Hot Carrier Reliability in Gate-All-Around Nanosheet Devices. In Proceedings of the 2023 IIRW Reliability Experts Forum: Hot Carrier Degradation, Lake Tahoe, CA, USA, 8–12 October 2023. [Google Scholar]
  19. Bravaix, A.; Guérin, C.; Huard, V.; Roy, D.; Roux, J.M.; Vincent, E. Hot-Carrier acceleration factors for low power management in DC-AC stressed 40nm NMOS node at high temperature. In Proceedings of the 2009 IEEE Reliability Physics Symposium (IRPS), Montreal, QC, Canada, 26–30 April 2009; pp. 531–548. [Google Scholar]
  20. Chang, L.; Ieong, M.; Yang, M. CMOS circuit performance enhancement by surface orientation optimization. IEEE Trans. Electron Devices 2004, 51, 1621–1627. [Google Scholar] [CrossRef]
  21. Chasin, A.; Franco, J.; Kaczer, B.; Putcha, V.; Weckx, P.; Ritzenthaler, R.; Mertens, H.; Horiguchi, N.; Linten, D.; Rzepa, G. BTI reliability and time-dependent variability of stacked gate-all-around Si nanowire transistors. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. 5C-4.1–5C-4.7. [Google Scholar]
  22. Lim, J.W.; Yoo, C.H.; Kiron, P.; Jeon, J. Self-heating and Corner Rounding Effects on Time Dependent Dielectric Breakdown of Stacked Multi-Nanosheet FETs. IEEE Access 2023, 11, 82208–82215. [Google Scholar] [CrossRef]
  23. Vandemaele, M.; Kaczer, B.; Tyaginov, S.; Bury, E.; Chasin, A.; Franco, J.; Makarov, A.; Mertens, H.; Hellings, G.; Groeseneken, G. Simulation comparison of hot-carrier degradation in nanowire, nanosheet and forksheet FETs. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; pp. 6A.2-1–6A.2-9. [Google Scholar]
  24. Choudhury, N.; Samadder, T.; Tiwari, R.; Zhou, H.; Southwick, R.G.; Wang, M.; Mahapatra, S. Analysis of sheet dimension (W, L) dependence of NBTI in GAA-SNS FETs. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–8. [Google Scholar]
  25. Choudhury, N.; Mahapatra, S. A Method to Isolate Intrinsic HCD and NBTI Contributions Under Self Heating During Varying V G/V D Stress in GAA Nanosheet PFETs. IEEE Trans. Electron Devices 2023, 69, 3535–3541. [Google Scholar] [CrossRef]
  26. Narendar, V.; Mishra, R.A. Analytical modeling and simulation of multigate FinFET devices and the impact of high-k dielectrics on short channel effects (SCEs). Superlattices Microstruct. 2015, 85, 357–369. [Google Scholar] [CrossRef]
  27. Nagy, D.; Indalecio, G.; Garcia-Loureiro, A.J.; Elmessary, M.A.; Kalna, K.; Seoane, N. FinFET versus gate-all-around nanowire FET: Performance, scaling, and variability. IEEE J. Electron Devices Soc. 2018, 6, 332–340. [Google Scholar] [CrossRef]
  28. Lee, S.Y.; Yoon, E.J.; Kim, S.M.; Oh, C.W.; Li, M.; Choi, J.D.; Yeo, K.H.; Kim, M.S.; Cho, H.J.; Kim, S.H.; et al. A novel sub-50 nm multi-bridge-channel MOSFET (MBCFET) with extremely high performance. In Digest of Technical Papers. In Proceedings of the 2004 Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2004; pp. 200–201. [Google Scholar]
  29. Yang, B.; Buddharaju, K.D.; Teo, S.H.G.; Singh, N.; Lo, G.Q.; Kwong, D.L. Vertical silicon-nanowire formation and gate-all-around MOSFET. IEEE Electron. Device Lett. 2008, 29, 791–794. [Google Scholar] [CrossRef]
  30. Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; De Keersgieter, A.; Mannaert, G.; Rosseel, E.; et al. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  31. Mertens, H.; Ritzenthaler, R.; Chasin, A.; Schram, T.; Kunnen, E.; Hikavyy, A.; Ragnarsson, L.Å.; Dekkers, H.; Hopf, T.; Wostyn, K.; et al. Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 7–19. [Google Scholar]
  32. Huang, R.; Wang, R.; Li, M. Gate-all-around silicon nanowire transistor technology. In Women in Microelectronics; Springer: Cham, Switzerland, 2020; pp. 89–115. [Google Scholar]
  33. Weckx, P.; Ryckaert, J.; Litta, E.D.; Yakimets, D.; Matagne, P.; Schuddinck, P.; Jang, D.; Chehab, B.; Baert, R.; Gupta, M.; et al. Novel forksheet device architecture as ultimate logic scaling device towards 2 nm. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 36.5.1–36.5.4. [Google Scholar]
  34. Mertens, H.; Ritzenthaler, R.; Oniki, Y.; Briggs, B.; Chan, B.T.; Hikavyy, A.; Hopf, T.; Mannaert, G.; Tao, Z.; Sebaai, F.; et al. Forksheet FETs for advanced CMOS scaling: Forksheet-nanosheet co-integration and dual work function metal gates at 17 nm NP space. In Proceedings of the 2021 Symposium on VLSI Technology, Kyoto, Japan, 13–19 June 2021; pp. 1–2. [Google Scholar]
  35. Zhao, Y.; Qu, Y. Impact of Self-Heating Effect on Transistor Characterization and Reliability Issues in Sub-10 nm Technology Nodes. IEEE J. Electron Devices Soc. 2019, 7, 829–836. [Google Scholar] [CrossRef]
  36. Choudhury, N.; Mahapatra, S. Modeling and Analysis of PBTI, and HCD in Presence of Self-Heating in GAA-SNS NFETs. IEEE Trans. Electron Devices 2022, 69, 6576–6581. [Google Scholar] [CrossRef]
  37. Cai, A.L.; Chen, W.; Du, G.; Kang, J.; Zhang, X.; Liu, X. Investigation of self-heating effect on stacked nanosheet GAA transistors. In Proceedings of the 2018 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 16–19 April 2018; pp. 1–2. [Google Scholar]
  38. Balasubbareddy, M.; Sivasankaran, K.; Atamuratov, A.E.; Khalilloev, M.M. Optimization of vertically stacked nanosheet FET immune to self-heating. Micro Nanostructures 2023, 182, 207633. [Google Scholar] [CrossRef]
  39. Kim, S.; Park, H.; Choi, E.; Kim, Y.H.; Kim, D.; Shim, H.; Chung, S.; Jung, P. Reliability Assessment of 3nm GAA Logic Technology Featuring Multi-Bridge-Channel FETs. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–8. [Google Scholar]
  40. Bury, E.; Kaczer, B.; Linten, D.; Witters, L.; Mertens, H.; Waldron, N.; Zhou, X.; Collaert, N.; Horiguchi, N.; Spessot, A.; et al. Self-heating in FinFET and GAA-NW using Si, Ge and III/V channels. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 15.6.1–15.6.4. [Google Scholar]
  41. Ramey, S.; Ashutosh, A.; Auth, C.; Clifford, J.; Hattendorf, M.; Hicks, J.; James, R.; Rahman, A.; Sharma, V.; St Amour, A.; et al. Intrinsic transistor reliability improvements from 22 nm tri-gate technology. In Proceedings of the 2013 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 14–18 April 2013; pp. 4C.5.1–4C.5.5. [Google Scholar]
  42. Loubet, N.; Kal, S.; Alix, C.; Pancharatnam, S.; Zhou, H.; Durfee, C.; Belyansky, M.; Haller, N.; Watanabe, K.; Devarajan, T.; et al. A novel dry selective etch of SiGe for the enablement of high performance logic stacked gate-all-around nanosheet devices. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.4.1–11.4.4. [Google Scholar]
  43. Mitani, Y.; Toriumi, A. Re-consideration of influence of silicon wafer surface orientation on gate oxide reliability from TDDB statistics point of view. In Proceedings of the 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, USA, 2–6 May 2010; pp. 299–305. [Google Scholar]
  44. Zhou, H.; Wang, M.; Bao, R.; Shen, T.; Wu, E.; Southwick, R.; Zhang, J.; Basker, V.; Guo, D. TDDB Reliability in Gate-All-Around Nanosheet. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–6. [Google Scholar]
  45. Zhou, H.; Wang, M.; Loubet, N.; Gaul, A.; Sulehria, Y. Impact of Gate Stack Thermal Budget on NBTI Reliability in Gate-All-Around Nanosheet P-type Devices. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–6. [Google Scholar]
  46. Zhou, H.; Wang, M.; Bao, R.; Durfee, C.; Qin, L.; Zhang, J. SiGe Gate-All-around Nanosheet Reliability. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; pp. P60-1–P60-4. [Google Scholar]
  47. Franco, J.; Kaczer, B.; Eneman, G.; Roussel, P.J.; Grasser, T.; Mitard, J.; Ragnarsson, L.Å.; Cho, M.; Witters, L.; Chiarella, T.; et al. Superior NBTI reliability of SiGe channel pMOSFETs: Replacement gate, FinFETs, and impact of body bias. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; pp. 18.5.1–18.5.4. [Google Scholar]
  48. Srinivasan, P.; Fronheiser, J.; Akarvardar, K.; Kerber, A.; Edge, L.F.; Southwick, R.G.; Cartier, E.; Kothari, H. SiGe composition and thickness effects on NBTI in replacement metal gate/high-κ technologies. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; pp. 6A.3.1–6A.3.6. [Google Scholar]
  49. Wu, W.; Liu, C.; Sun, J.; Yu, W.; Wang, X.; Shi, Y.; Zhao, Y. Experimental study on NBTI degradation behaviors in Si pMOSFETs under compressive and tensile strains. IEEE Electron. Device Lett. 2014, 35, 714–716. [Google Scholar]
  50. Parihar, N.; Tarun, S.; Mahapatra, S. BAT Framework Modeling of Gate First HKMG Si-Capped SiGe Channel MOSFETs. In Recent Advances in PMOS Negative Bias Temperature Instability: Characterization and Modeling of Device Architecture, Material and Process Impact; Springer: Singapore, 2022; pp. 151–171. [Google Scholar]
  51. Huard, V.; Ndiaye, C.; Arabi, M.; Parihar, N.; Federspiel, X.; Mhira, S.; Mahapatra, S.; Bravaix, A. Key parameters driving transistor degradation in advanced strained SiGe channels. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. P-TX.4-1–P-TX.4-6. [Google Scholar]
  52. Waltl, M.; Rzepa, G.; Grill, A.; Goes, W.; Franco, J.; Kaczer, B.; Witters, L.; Mitard, J.; Horiguchi, N.; Grasser, T. Superior NBTI in High- k SiGe Transistors–Part I: Experimental. IEEE Trans. Electron Devices 2017, 64, 2092–2098. [Google Scholar] [CrossRef]
  53. Waltl, M.; Rzepa, G.; Grill, A.; Goes, W.; Franco, J.; Kaczer, B.; Witters, L.; Mitard, J.; Horiguchi, N.; Grasser, T. Superior NBTI in high-k SiGe transistors–part II: Theory. IEEE Trans. Electron Devices 2017, 64, 2099–2105. [Google Scholar] [CrossRef]
  54. Alexander, A.; La Rosa, G.; Sun, Y.C. A review of hot-carrier degradation mechanisms in MOSFETs. Microelectron. Reliab. 1996, 36, 845–869. [Google Scholar]
  55. Tyaginov, S.E.; Starkov, I.A.; Triebl, O.; Cervenka, J.; Jungemann, C.; Carniello, S.; Park, J.M.; Enichlmair, H.; Karner, M.; Kernstock, C.; et al. Interface traps density-of-states as a vital component for hot-carrier degradation modeling. Microelectron. Reliab. 2010, 50, 1267–1272. [Google Scholar] [CrossRef]
  56. Tyaginov, S.; Jech, M.; Franco, J.; Sharma, P.; Kaczer, B.; Grasser, T. Understanding and modeling the temperature behavior of hot-carrier degradation in SiON nMOSFETs. IEEE Electron. Device Lett. 2015, 37, 84–87. [Google Scholar] [CrossRef]
  57. Makarov, A.; Tyaginov, S.E.; Kaczer, B.; Jech, M.; Chasin, A.; Grill, A.; Hellings, G.; Vexler, M.I.; Linten, D.; Grasser, T. Hot-carrier degradation in FinFETs: Modeling, peculiarities, and impact of device topology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 13.1.1–13.1.4. [Google Scholar]
  58. Yu, Z.; Zhang, J.; Wang, R.; Guo, S.; Liu, C.; Huang, R. New insights into the hot carrier degradation (HCD) in FinFET: New observations, unified compact model, and impacts on circuit reliability. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 7.2.1–7.2.4. [Google Scholar]
  59. Souvik, M.; Sharma, U. A review of hot carrier degradation in n-channel MOSFETs—Part I: Physical mechanism. IEEE Trans. Electron Devices 2020, 67, 2660–2671. [Google Scholar]
  60. Wang, R.; Sun, Z.; Liu, Y.Y.; Yu, Z.; Wang, Z.; Jiang, X.; Huang, R. Hot carrier reliability in FinFET technology from trap-based approach. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 31.2.1–31.2.4. [Google Scholar]
  61. Ding, Y.; Chu, Y.; Qu, Y.; Zhao, Y. Re-examination of hot carrier degradation mechanism in ultra-scaled nFinFETs. IEEE Electron. Device Lett. 2022, 43, 1802–1805. [Google Scholar] [CrossRef]
  62. Lee, K.; Kaczer, B.; Kruv, A.; Gonzalez, M.; Degraeve, R.; Tyaginov, S.; Grill, A.; De Wolf, I. Hot-electron-induced punch-through (HEIP) effect in p-MOSFET enhanced by mechanical stress. IEEE Electron. Device Lett. 2021, 42, 1424–1427. [Google Scholar] [CrossRef]
  63. Rauch, S.E.; Guarin, F.; Rosa, L.G. High-VGS PFET DC Hot-Carrier Mechanism and Its Relation to AC Degradation. IEEE Trans. Device Mater. Reliab. 2009, 10, 40–46. [Google Scholar] [CrossRef]
  64. Masashi, M.; Hiroki, A. Analysis of Quantum Confinement in Nanosheet FETs by Using a Quantum Drift Diffusion Model. IEEJ Trans. Electron. Inf. Syst. 2022, 142, 1174–1179. [Google Scholar]
  65. Peng, B.; Jiao, Y.; Zhong, H.; Rong, Z.; Wang, Z.; Xiao, Y.; Wong, W.; Zhang, L.; Wang, R.; Huang, R. Compact modeling of quantum confinements in nanoscale gate all-around MOSFETs. Fundam. Res. 2023. [Google Scholar] [CrossRef]
  66. Monishmurali, M.; Mayank, S. Peculiar current instabilities & failure mechanism in vertically stacked nanosheet ggN-FET. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–5. [Google Scholar]
Figure 1. Schematics of (a) a planar device, (b) a FinFET, (c) a vertically stacked bulk GAA NS FET, and (d) a cut of bulk GAA NS FET across the source-drain region where the key components marked with blue text are: surface orientations of Si channels in a planar FET, a FinFET, and a bulk NS FET, respectively, the thickness of the NS Si channels (Tsi), the width of the NS Si channels (Wsheet), GAA architecture, and inner spacers for gate and source/drain isolation physically.
Figure 1. Schematics of (a) a planar device, (b) a FinFET, (c) a vertically stacked bulk GAA NS FET, and (d) a cut of bulk GAA NS FET across the source-drain region where the key components marked with blue text are: surface orientations of Si channels in a planar FET, a FinFET, and a bulk NS FET, respectively, the thickness of the NS Si channels (Tsi), the width of the NS Si channels (Wsheet), GAA architecture, and inner spacers for gate and source/drain isolation physically.
Micromachines 15 00269 g001
Figure 2. Schematics of GAA NS FETs fabricated on (a) (100) and (b) (110) surface orientations.
Figure 2. Schematics of GAA NS FETs fabricated on (a) (100) and (b) (110) surface orientations.
Micromachines 15 00269 g002
Figure 3. Comparison of Dit levels in GAA NS fabricated on (100) vs. (110) surface orientation extracted with AC conductance method [15,16,17], showing a higher initial Dit in NS FETs with (110) top surface than (100) [14], both lower than 1 × 1011 cm−2 eV−1. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Figure 3. Comparison of Dit levels in GAA NS fabricated on (100) vs. (110) surface orientation extracted with AC conductance method [15,16,17], showing a higher initial Dit in NS FETs with (110) top surface than (100) [14], both lower than 1 × 1011 cm−2 eV−1. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Micromachines 15 00269 g003
Figure 4. Comparison of NBTI-induced Vt shift (ΔVt) as a function of absolute stress gate voltage (|VGS|) in GAA NS devices fabricated on (100) vs. (110) surface orientations, showing higher NBTI degradation in (110) surface orientation [14]. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Figure 4. Comparison of NBTI-induced Vt shift (ΔVt) as a function of absolute stress gate voltage (|VGS|) in GAA NS devices fabricated on (100) vs. (110) surface orientations, showing higher NBTI degradation in (110) surface orientation [14]. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Micromachines 15 00269 g004
Figure 5. Comparison of activation energy, Ea, of NBTI in GAA NS fabricated on (100) vs. (110) surface orientations, showing a higher Ea in (100) than (110) surface orientation [14]. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Figure 5. Comparison of activation energy, Ea, of NBTI in GAA NS fabricated on (100) vs. (110) surface orientations, showing a higher Ea in (100) than (110) surface orientation [14]. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Micromachines 15 00269 g005
Figure 6. Comparison of NBTI-induced ΔVt for GAA NS FETs fabricated on (100) vs. (110) surface orientations during alternating stress and recovery cycles of 1000 s each. The total stress and recovery time is 4000 s for each device [14]. Impact from sensing delay of 1 ms vs. 10 ms was also shown and discussed [14]. It is worth highlighting that, in addition to a higher generation of interface traps, more hole trapping was observed in (110) surface orientation. This was evident from the increased magnitude of ΔVt recovery difference between 1 ms and 10 ms for (110) surface orientation, implying that the recoverable defect trapping captured by 1 ms sense delay but discharged during 10 ms sense delay was higher at (110) than (100) surface orientation. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Figure 6. Comparison of NBTI-induced ΔVt for GAA NS FETs fabricated on (100) vs. (110) surface orientations during alternating stress and recovery cycles of 1000 s each. The total stress and recovery time is 4000 s for each device [14]. Impact from sensing delay of 1 ms vs. 10 ms was also shown and discussed [14]. It is worth highlighting that, in addition to a higher generation of interface traps, more hole trapping was observed in (110) surface orientation. This was evident from the increased magnitude of ΔVt recovery difference between 1 ms and 10 ms for (110) surface orientation, implying that the recoverable defect trapping captured by 1 ms sense delay but discharged during 10 ms sense delay was higher at (110) than (100) surface orientation. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Micromachines 15 00269 g006
Figure 7. Comparison of HCD (each data point is the mean value of more than seven devices stressed at the same condition) in GAA NS nFETs with (100) vs. (110) top surface orientations [18]. ΔIdsat% is defined as (Idsat0 – Idsat)/Idsat0 × 100%, whereas Idsat0 is the initial saturation drain current. Idsat is the saturation drain current during stress.
Figure 7. Comparison of HCD (each data point is the mean value of more than seven devices stressed at the same condition) in GAA NS nFETs with (100) vs. (110) top surface orientations [18]. ΔIdsat% is defined as (Idsat0 – Idsat)/Idsat0 × 100%, whereas Idsat0 is the initial saturation drain current. Idsat is the saturation drain current during stress.
Micromachines 15 00269 g007
Figure 8. Comparison of HCD (each data point is the mean value of more than seven devices stressed at the same condition) in GAA NS pFETs with (100) vs. (110) top surface orientations [18].
Figure 8. Comparison of HCD (each data point is the mean value of more than seven devices stressed at the same condition) in GAA NS pFETs with (100) vs. (110) top surface orientations [18].
Micromachines 15 00269 g008
Figure 9. Cross-Fin TEMs of vertically stacked GAA NS devices with Tsi of approximately (a) 5 nm and (b) 8 nm, corresponding to the curvature ranges of 25~50% and 75~100%, respectively, as defined in Figure 10 [10]. Reprinted/adapted with permission from IEEE Proceedings of the 2019 International Reliability Physics Symposium.
Figure 9. Cross-Fin TEMs of vertically stacked GAA NS devices with Tsi of approximately (a) 5 nm and (b) 8 nm, corresponding to the curvature ranges of 25~50% and 75~100%, respectively, as defined in Figure 10 [10]. Reprinted/adapted with permission from IEEE Proceedings of the 2019 International Reliability Physics Symposium.
Micromachines 15 00269 g009
Figure 10. Vertical electric field peaks at sheet corners for GAA NS with different structure (sidewalls and corners) profiles and curvature ranges from TCAD simulation [22]. The vertical field at sheet corners increases as the curvature range changes from 0% to 75% and then reduces when transitioning from 75% to 100% of curvature range. Reprinted/Adapted from [22], under a Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 License. Source: https://creativecommons.org/licenses/by-nc-nd/4.0/. Modifications were made to the original figure.
Figure 10. Vertical electric field peaks at sheet corners for GAA NS with different structure (sidewalls and corners) profiles and curvature ranges from TCAD simulation [22]. The vertical field at sheet corners increases as the curvature range changes from 0% to 75% and then reduces when transitioning from 75% to 100% of curvature range. Reprinted/Adapted from [22], under a Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 License. Source: https://creativecommons.org/licenses/by-nc-nd/4.0/. Modifications were made to the original figure.
Micromachines 15 00269 g010
Figure 11. HCD as a function of stress drain voltage in GAA nFETs for different Tsi. Each data point is the mean value of more than seven devices stressed at the same condition. Enhanced HCI damage at thinner Tsi can be attributed to a higher corner field at scaled diameters of the curved region [18,21,22]. Stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. Stress gate voltage is roughly between 0.5× and 0.7× of stress drain voltage for Mid-Vg stress conditions.
Figure 11. HCD as a function of stress drain voltage in GAA nFETs for different Tsi. Each data point is the mean value of more than seven devices stressed at the same condition. Enhanced HCI damage at thinner Tsi can be attributed to a higher corner field at scaled diameters of the curved region [18,21,22]. Stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. Stress gate voltage is roughly between 0.5× and 0.7× of stress drain voltage for Mid-Vg stress conditions.
Micromachines 15 00269 g011
Figure 12. HCD as a function of stress drain voltage in GAA pFETs for different Tsi. Each data point is the mean value of more than seven devices stressed at the same condition. Enhanced HCI damage at thinner Tsi can be attributed to more severe corner field crowding effect at scaled diameters of the curved region [18,21,22]. Stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. Stress gate voltage is roughly between 0.5× and 0.7× of stress drain voltage for Mid-Vg stress conditions.
Figure 12. HCD as a function of stress drain voltage in GAA pFETs for different Tsi. Each data point is the mean value of more than seven devices stressed at the same condition. Enhanced HCI damage at thinner Tsi can be attributed to more severe corner field crowding effect at scaled diameters of the curved region [18,21,22]. Stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. Stress gate voltage is roughly between 0.5× and 0.7× of stress drain voltage for Mid-Vg stress conditions.
Micromachines 15 00269 g012
Figure 13. Wsheet dependence of HCI reliability in GAA NS nFETs with wider sheets exhibits higher HCD due to enhanced current and SHE [18]. Each data point is the mean value of multiple devices stressed at the same condition.
Figure 13. Wsheet dependence of HCI reliability in GAA NS nFETs with wider sheets exhibits higher HCD due to enhanced current and SHE [18]. Each data point is the mean value of multiple devices stressed at the same condition.
Micromachines 15 00269 g013
Figure 14. Wsheet dependence of HCI reliability in GAA NS pFETs with wider sheets exhibits higher HCD due to enhanced current and SHE [18]. Each data point is the mean value of multiple devices stressed at the same condition.
Figure 14. Wsheet dependence of HCI reliability in GAA NS pFETs with wider sheets exhibits higher HCD due to enhanced current and SHE [18]. Each data point is the mean value of multiple devices stressed at the same condition.
Micromachines 15 00269 g014
Figure 15. Cross-sectional TEM image of an NS device highlighting top spacers and moon-shaped inner spacers between gate and source/drain epitaxy [11]. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Figure 15. Cross-sectional TEM image of an NS device highlighting top spacers and moon-shaped inner spacers between gate and source/drain epitaxy [11]. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Micromachines 15 00269 g015
Figure 16. Typical HCD as a function of stress time in GAA NS nFETs with Lg = 12 nm under high-Vg and Mig-Vg HC stresses, following power law time dependence [18].
Figure 16. Typical HCD as a function of stress time in GAA NS nFETs with Lg = 12 nm under high-Vg and Mig-Vg HC stresses, following power law time dependence [18].
Micromachines 15 00269 g016
Figure 17. Typical HCD as a function of stress time in GAA NS pFETs with Lg = 12 nm under high-Vg and Mig-Vg HC stress conditions. High-Vg HCD in NS pFETs follows power law time dependence [18]. Mid-Vg HCD in NS pFETs at low stress drain voltages no longer follows power law time dependence and is dominated by electron trapping for a short stress time, causing a current increase in contrast to the current decrease resultant from interface state generation hole trapping [62,63]. Stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. Stress gate voltage is roughly between 0.5× and 0.7× of stress drain voltage under Mid-Vg stress conditions.
Figure 17. Typical HCD as a function of stress time in GAA NS pFETs with Lg = 12 nm under high-Vg and Mig-Vg HC stress conditions. High-Vg HCD in NS pFETs follows power law time dependence [18]. Mid-Vg HCD in NS pFETs at low stress drain voltages no longer follows power law time dependence and is dominated by electron trapping for a short stress time, causing a current increase in contrast to the current decrease resultant from interface state generation hole trapping [62,63]. Stress gate voltage is equivalent or close to stress drain voltage under high-Vg stress conditions. Stress gate voltage is roughly between 0.5× and 0.7× of stress drain voltage under Mid-Vg stress conditions.
Micromachines 15 00269 g017
Figure 18. Area scaling comparison for NS nFETs with (a) no-dipole, (b) p-dipole source, and (c) n-dipole source in gate stacks and NS pFETs with (d) no-dipole, (e) p-dipole source, and (f) n-dipole source in gate stacks. All follow Weibull statistics and Poisson area scaling statistics [44]. Reprinted/adapted with permission from IEEE Proceedings of the 2021 International Reliability Physics Symposium.
Figure 18. Area scaling comparison for NS nFETs with (a) no-dipole, (b) p-dipole source, and (c) n-dipole source in gate stacks and NS pFETs with (d) no-dipole, (e) p-dipole source, and (f) n-dipole source in gate stacks. All follow Weibull statistics and Poisson area scaling statistics [44]. Reprinted/adapted with permission from IEEE Proceedings of the 2021 International Reliability Physics Symposium.
Micromachines 15 00269 g018aMicromachines 15 00269 g018b
Figure 19. Cross-sectional schematics after key steps in the special process flow in [11] to evaluate inner spacer TDDB: (a) after channel release, (b) after Si trimming, (c) complete channel oxidation, and (d) after HKMG process. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Figure 19. Cross-sectional schematics after key steps in the special process flow in [11] to evaluate inner spacer TDDB: (a) after channel release, (b) after Si trimming, (c) complete channel oxidation, and (d) after HKMG process. Reprinted/adapted with permission from IEEE Proceedings of the 2020 International Reliability Physics Symposium.
Micromachines 15 00269 g019
Figure 20. Time to failures of Gox TDDB in NS nFETs and inner spacer TDDB projection to a target failure rate, and total Gox area or inner spacer run length, with the T63%, VAE, and β reported in [11,44]. Due to the shallower beta and lower VAE, the inner spacer of NS is likely to fail sooner than gate oxide at maximum operating voltage and the required failure rate for standard semiconductor chip operation. Adapted with permission from IEEE Proceedings of the 2020 and 2021 International Reliability Physics Symposium.
Figure 20. Time to failures of Gox TDDB in NS nFETs and inner spacer TDDB projection to a target failure rate, and total Gox area or inner spacer run length, with the T63%, VAE, and β reported in [11,44]. Due to the shallower beta and lower VAE, the inner spacer of NS is likely to fail sooner than gate oxide at maximum operating voltage and the required failure rate for standard semiconductor chip operation. Adapted with permission from IEEE Proceedings of the 2020 and 2021 International Reliability Physics Symposium.
Micromachines 15 00269 g020
Figure 21. A cut of GAA NS FET across the source-drain region with substrate isolation [9]. The spacing between Si channels, Tsus, with potential impact on HKMG metal fill, and thereby gate stack reliability, is also highlighted in the schematic.
Figure 21. A cut of GAA NS FET across the source-drain region with substrate isolation [9]. The spacing between Si channels, Tsus, with potential impact on HKMG metal fill, and thereby gate stack reliability, is also highlighted in the schematic.
Micromachines 15 00269 g021
Table 1. Summary of key architectural elements of NS and their impact on device reliability. BT. stands for better than; * NIE stands for no impact expected; and ** NR is short for not reported. *** Expected from the corner field and surface orientation impact at different sheet widths.
Table 1. Summary of key architectural elements of NS and their impact on device reliability. BT. stands for better than; * NIE stands for no impact expected; and ** NR is short for not reported. *** Expected from the corner field and surface orientation impact at different sheet widths.
MechanismsPBTINBTIHCISHEGox TDDBMOL TDDB
Surface orientationNIE *YesYesNIE *YesNIE *
(100) BT. (110)?[10][10,14][18] [43]
Tsi: 9 nm and belowYesYesYesWorseYesNR **
Thicker BT. Thinner?[10][10][18,23][38][22]
WsheetNIE *YesWorseWorseYes ***NR **
Wider BT. Narrow? [10,14][12,18,24][12,25,36][10,43]
Table 2. Key modeling parameters for BTI and HCI reliability in GAA NS [10,14,18,36]. * Extracted from power law fitting of HCD vs. stress voltage curves in NS with (100) top surface orientation in Figure 4.
Table 2. Key modeling parameters for BTI and HCI reliability in GAA NS [10,14,18,36]. * Extracted from power law fitting of HCD vs. stress voltage curves in NS with (100) top surface orientation in Figure 4.
MechanismsReferencesTempVAE from Power Law FitTime Exponent (n)Activation Energy (Ea)
PBTI[10]125 °C~7.4~0.200.105 eV
[36]25~125 °C8.61~10.18--
NBTI[10]125 °C~5.5~0.250.18 eV
[14] ~5.52 for (100) 0.15 eV for (100)
~4.40 for (110)0.13 eV for (110)
nFET Mid-Vg HCI[18]25 °C~13.2 *0.25~0.40.07 eV
nFET High-Vg HCI[18]25 °C~10.3 *0.07 eV
pFET Mid-Vg HCI[18]25 °C~8.8 *--
pFET High-Vg HCI[18]25 °C~11.6 *-0.17 eV
Table 3. Key modeling parameters for Gox and inner spacer reliability in GAA NS [11,44]. Adapted with permission from IEEE Proceedings of the 2020 and 2021 International Reliability Physics Symposium.
Table 3. Key modeling parameters for Gox and inner spacer reliability in GAA NS [11,44]. Adapted with permission from IEEE Proceedings of the 2020 and 2021 International Reliability Physics Symposium.
MechanismsDipole ProcessReferencesTemp.VAEβActivation Energy (Ea)
nFET Gox TDDBno Dipole[44]125 °C571.30.81 eV
nFET Gox TDDBp-Dipole[44]125 °C571.20.70 eV
nFET Gox TDDBn-Dipole[44]125 °C621.80.64 eV
pFET Gox TDDBno Dipole[44]125 °C441.30.55 eV
pFET Gox TDDBp-Dipole[44]125 °C511.10.59 eV
pFET Gox TDDBn-Dipole[44]125 °C451.10.83 eV
Inner spacer TDDB [11]25 °C (RVS)520.60.54 eV
125 °C (RVS)310.8
125 °C (CVS)32.40.57
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Wang, M. A Review of Reliability in Gate-All-Around Nanosheet Devices. Micromachines 2024, 15, 269. https://doi.org/10.3390/mi15020269

AMA Style

Wang M. A Review of Reliability in Gate-All-Around Nanosheet Devices. Micromachines. 2024; 15(2):269. https://doi.org/10.3390/mi15020269

Chicago/Turabian Style

Wang, Miaomiao. 2024. "A Review of Reliability in Gate-All-Around Nanosheet Devices" Micromachines 15, no. 2: 269. https://doi.org/10.3390/mi15020269

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop