Next Article in Journal
Petal-like NiS-NiO/G-C3N4 Nanocomposite for High-Performance Symmetric Supercapacitor
Next Article in Special Issue
Temperature-Independent Current Dispersion in 0.15 μm AlGaN/GaN HEMTs for 5G Applications
Previous Article in Journal
Vertical Cavity Surface Emitting Laser Performance Maturing through Machine Learning for High-Yield Optical Wireless Network
Previous Article in Special Issue
The Electrical and Thermal Characteristics of Stacked GaN MISHEMT
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Challenges and Opportunities for High-Power and High-Frequency AlGaN/GaN High-Electron-Mobility Transistor (HEMT) Applications: A Review

1
Institute of Nano Optoelectronics Research and Technology (INOR), Universiti Sains Malaysia, Sains@USM, Bayan Lepas 11900, Pulau Pinang, Malaysia
2
Collaborative Microelectronic Design Excellence Center (CEDEC), Universiti Sains Malaysia, Sains@USM, Bayan Lepas 11900, Pulau Pinang, Malaysia
3
Faculty of Science and Engineering, Waseda University, Tokyo 169-8555, Japan
4
The Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051, Japan
*
Authors to whom correspondence should be addressed.
Micromachines 2022, 13(12), 2133; https://doi.org/10.3390/mi13122133
Submission received: 29 June 2022 / Revised: 23 July 2022 / Accepted: 4 August 2022 / Published: 1 December 2022

Abstract

:
The emergence of gallium nitride high-electron-mobility transistor (GaN HEMT) devices has the potential to deliver high power and high frequency with performances surpassing mainstream silicon and other advanced semiconductor field-effect transistor (FET) technologies. Nevertheless, HEMT devices suffer from certain parasitic and reliability concerns that limit their performance. This paper aims to review the latest experimental evidence regarding HEMT technologies on the parasitic issues that affect aluminum gallium nitride (AlGaN)/GaN HEMTs. The first part of this review provides a brief introduction to AlGaN/GaN HEMT technologies, and the second part outlines the challenges often faced during HEMT fabrication, such as normally-on operation, self-heating effects, current collapse, peak electric field distribution, gate leakages, and high ohmic contact resistance. Finally, a number of effective approaches to enhancing the device’s performance are addressed.

Graphical Abstract

1. Introduction

The gallium nitride high-electron-mobility transistor (GaN HEMT) has attracted the interest of many researchers as a power device platform due to its high operating frequency, high breakdown voltage, high-temperature capability, reduced on-state resistance, and high electron saturation velocity [1]. GaN-based HEMTs can produce high current densities and low channel resistances due to their high electron mobility and high carrier concentration [2]. These merits are vital for a wide range of high-power and high-frequency applications [3], especially in the areas of communications, radar, and space [4,5]. However, various limitations hinder GaN HEMTs’ ability to be fully commercially exploited [6], including reliability and short-channel effect concerns. Moreover, their dynamic on-state resistance (RDS,ON) values worsen during high-voltage switching, which wastes energy and compromises the system’s reliability. This deterioration is often induced by a phenomenon known as current collapse, which is triggered by charge trapping by surface states in the drift region and bulk traps in the buffer layers [7]. Furthermore, as the size of transistors has decreased over time in efforts to boost their performance speed, scaling has become more difficult due to self-heating issues.
Much research has been conducted over the years to highlight the influence of a component’s structural behavior on its electrical properties to assess its reliability [8]. However, based on past achievements in improving aluminum gallium nitride (AlGaN)/GaN HEMT performance, only a few address the multiple challenges associated with HEMT devices. Most studies focus on one specific issue, such as self-heating, with limited exposure to some of the recent structural improvements. To address this gap, our study summarizes practical and updated approaches over the past six years (from 2017 to the present) to counter the various HEMT challenges at the device level, such as normally-off operation, self-heating, current collapse, peak electric field distribution, gate leakage, and high ohmic contact resistance. Aside from that, this review offers immense opportunities for optimum HEMT device performance. Section 2 provides a brief introduction to the conventional AlGaN/GaN HEMT technology, mainly focusing on its design and operating principles. Section 3.1 discusses the normally-on mode of traditional HEMT devices and several practical approaches to achieving normally-off operation. Further, we present different HEMT substrates to improve the device’s thermal management (Section 3.2). In Section 3.3, field-plate (FP) implementation, surface passivation, and different gate structures are introduced to overcome current collapse, peak electric field distribution, and gate leakage issues. In Section 3.4, the impact of varying metal contact combinations to surpass the high ohmic contact resistance is explained. Finally, Section 4 provides an overall summary of the review.

2. AlGaN/GaN HEMT Technology

AlGaN/GaN HEMT technology offers exceptional current density and output power devices and is poised to become the dominant technology for various applications [9]. Due to their broad energy band gap, high critical electric field, and solid thermal dissipation capabilities, substantial research has been aimed at developing III-nitride compound semiconductors for optoelectronic and electronic devices [10,11]. The inherent physical features of nitride-based semiconductors allow for high off-state voltage, low on-state resistance, and high-power density [12,13]. Recent studies have shown that, within power device applications, GaN-based devices are superior to gallium arsenide (GaAs)-based devices [14], with the former promising greater input power robustness [15,16]. Moreover, GaN has a saturation electron velocity (vsat) two or more times faster than silicon (Si) and GaAs, with a dielectric field strength (Ec) ten times greater than Si and 7.5 times greater than GaAs [17]. Consequently, GaN-based HEMTs are functionally superior to Si-based HEMTs, as they provide a higher operating frequency, output power, and operating temperatures [18]. Table 1 lists the material properties of AlGaN and GaN recently implemented in HEMT design [19,20,21,22,23,24,25,26,27,28,29,30].
As observed in Table 1, many reports of high Al-composition AlGaN channel devices have surfaced in recent years due to better critical breakdown and electric field distribution [31,32,33], allowing for exceptionally high voltage transistor operations. In addition, the particular contact resistivity degrades as the Al concentration increases [34], which is very appealing for the development of ultra-wide bandgap (UWBG) semiconductor devices because of the inherent polar nature of III-nitride materials and the availability of high thermal conductivity substrates [35]. In terms of radio frequency (RF) performance, an AlGaN-channel HEMT has exhibited the highest documented current gain cut-off frequency (fT) at 40 GHz [36].
A high degree of reliability is necessary for GaN power devices to be employed in critical electronic systems such as HEMTs, considering the failure mechanisms associated with high-voltage and high-temperature applications [37]. The typical configuration of AlGaN/GaN HEMT consists of a heterojunction formation following the alignment of adjacent wide and narrow band gap semiconductors, as shown in Figure 1. In the AlGaN barrier layer, the gate metal produces a Schottky contact, which controls the polarization charge density at the AlGaN/GaN interface. All the electrons then temporarily cluster near AlGaN due to the material’s higher band gap, which acts as a barrier. As a result, an impenetrable two-dimensional electron gas (2DEG) forms directly in GaN, near the AlGaN border. Many factors influence the quality of the 2DEG, including substrate materials, growing method, and the doping level of the carrier supply layer. This 2DEG formation [38,39,40] allows power electronics engineers to construct devices with increased efficiency and power density while lowering costs [41].
Unlike GaAs-based devices, GaN HEMTs do not require doping to attain a high concentration of electrons in the channel. Instead, carriers are created by the polarization mismatch between the GaN and AlGaN barrier layers [43] and by piezoelectric and spontaneous polarization. The 2DEG value for a GaN-based HEMT is significantly greater than that of indium phosphide (InP) or GaAs-based heterostructures [44,45,46], as it is influenced by the physical features of the respective materials [47]. The conduction band of the GaN channel layer is also lower than the energy level of the AlGaNs barrier layer, shifting the balance of the electron transfer toward the channel layer from the barrier layer and confining the transferred electrons to the 2DEG layer.

3. Challenges and Opportunities

3.1. Normally-Off Operation

Enhancement mode (E-mode), or normally-off operation, is crucial for modern high-frequency HEMT switching, such as in 5G applications. This function provides a more straightforward transistor control system without a negative power supply and advantageous operating conditions for device safety. However, because 2DEG allows for a large current, HEMT devices constructed with an AlGaN/GaN heterojunction are inherently normally-on devices. Even without gate bias, 2DEG remains present at the AlGaN/GaN heterointerface, resulting in a normally-on operation, also called depletion mode (D-mode) [48]. Currently, normally-off transistors are strongly recommended for power electronic applications [49] to simplify the gate drive arrangement and alleviate safety concerns. In cases of gate driver failure, when the gate bias drops to 0 V, a normally-off HEMT shifts to the off state, preventing circuit burnout. This is clearly safer than leaving a switch in the always-on position. To achieve normally-off HEMT behavior, a positive threshold voltage (Vth) is essential. Despite being more vulnerable to high leakage current, normally-off transistors can attain a high breakdown voltage (VBR) of over 1100 V, a significant improvement considering that reported values are often substantially below the theoretical limit [50].
Over the past 20 years, significant efforts have been made to investigate a possible methodology for developing well-built, normally-off GaN HEMT technology. The original inspirations for the study of normally-off HEMTs were the recessed-gate technique with an ultra-thin AlGaN barrier [51] and the injection of fluorine ions into the AlGaN barrier layer [52]. The recessed-gate technique involves reducing the thickness of the AlGaN barrier layer beneath the gate [53,54] because a positive Vth and 2DEG depletion are typically associated with a specific AlGaN thickness, at which the Fermi level at the interface becomes lower than the AlGaN minimum conduction band. The reduction of the AlGaN layer thickness through the gate recess leads to a lower polarization-induced 2DEG density in the commonly used AlGaN/GaN heterostructure for HEMTs. With deep enough gate-recess etching, Vth may approach a positive value, resulting in E-mode HEMTs [55].
Alternatively, fluorine gate HEMTs use either ion or plasma implantation to insert negatively charged fluorine ions under the gate [56,57]. The negative charge then depletes the 2DEG, reducing channel mobility and electron density. A decrease in the gate leakage current is then expected due to the negative fixed charges of the fluorine ions. This procedure has been further developed by adding a dielectric layer under the gate in the recessed area to decrease leakage current and eventually move Vth to the positive side [58]. The device’s Vth may be regulated more accurately by adjusting the dielectric layer thickness. However, this technique has several drawbacks, mainly regarding plasma etch process repeatability at a nano-metric level and Vth instabilities with a rising operating temperature [59].
Off-state operation can also be achieved by combining a normally-on HEMT in a “cascode” arrangement with a low-voltage, E-mode Si metal-oxide-semiconductor field-effect transistor (MOSFET) [60]. These two devices are coupled such that the MOSFETs output drain-source voltage (Vds) directly influences the HEMTs input gate-source voltage (Vgs). The operating premise of the cascode method is as follows: Immediately after the Si MOSFET is switched on, the normally-off GaN HEMT is switched on. Since the GaN HEMT and Si MOSFET are coupled in series, any voltage provided to the drain terminal causes current flow across both devices. The drain terminal of a GaN HEMT is negatively biased when the Si MOSFET is switched off [61]. However, while the cascode arrangement may be powered by standard MOSFET drivers, it has significant disadvantages. Connecting two devices in series, for example, increases package complexity [62] and introduces parasitic inductances, which influence cascode switching functioning and restrict high-temperature capabilities [63].
Recent technological development has focused on two critical device structures for off-state operation: recessed-gate hybrid metal-insulator-semiconductor HEMTs (MISHEMTs) and p-type GaN (p-GaN) gate HEMTs. In recessed-gate hybrid MISHEMTs, the AlGaN layer is separated from the device by plasma etching at the gate region, and the recessed GaN region is passivated [64]. The resulting device is a hybrid transistor linking two low-resistance access zones to the recessed metal-insulator-semiconductor (MIS) channel due to the presence of the 2DEG. Nevertheless, despite promising achievements, GaN-based, recessed-gate hybrid MISHEMTs suffer from Vth instability caused by charge trapping inside the gate insulator [65,66]. The impacts of the instability are two-fold: a positive Vth shift degrades the device’s on-resistance since it requires greater bias for the same current value, while a negative threshold voltage shift (positive charge trapping) can cause the loss of normally-off characteristics [67]. Thus, the impact of charge trapping at the gate dielectric is a significant concern for recessed-gate MISHEMTs [68]. As normally-off GaN HEMTs are still novel, this method has not matured enough to market and remains a GaN community research and development (R&D) project.
On the other hand, p-GaN gate design has long been considered the most advanced structure for producing normally-off GaN HEMTs [69] due to its stable threshold voltage and high reliability [70]. This implementation uses p-type GaN or AlGaN with ample acceptor doping on top of the AlGaN [71]. The fundamental structure of p-GaN HEMTs consists of a p-GaN cap layer, metal contact, an AlGaN barrier layer, an undoped GaN layer, and a substrate layer, as illustrated in Figure 2a. By adding a p-GaN layer on top of the AlGaN/GaN heterostructure, the AlGaN conduction band is lifted above the Fermi level by an amount of energy similar to the GaN band gap (3.4 eV), causing 2DEG depletion. To achieve 0 V gate bias, the depletion zone for the given p-type doping extends throughout the GaN channel layer, interrupting the 2DEG at the gate position. Consequently, the GaN HEMT switches from normally-on to normally-off mode. The 2DEG transistor channel is then re-established using positive gate bias, resulting in on-state conditions for the transistor [72]. Figure 2b shows the diagrams of the studied HEMT with a p-GaN structure and its energy band gap.
Figure 3 shows the simulated electric field for a typical p-GaN HEMT. The active devices that comprise power-switching systems must be normally-off for a higher level of inherent safety (i.e., a positive threshold voltage, Vth). However, adding a p-GaN layer to an AlGaN/GaN heterostructure is usually insufficient to achieve normally-off behavior. Instead, various factors must be considered, including heterostructure characteristics, thermal annealing, gate contact, p-GaN etching, and doping [73].
As previously mentioned, the selection of metal gates in normally-off HEMTs with p-GaN gates can also significantly influence a device’s performance and long-term reliability [74]. Overall, there are two possible types of gate contacts in p-GaN gate HEMT technology: ohmic and Schottky. A Schottky contact is a rectifying contact between a metal and a lightly doped semiconductor. By modulating the metal with a specific gate voltage, the charge density of the heterostructure and the drain current can be conveniently adjusted [75]. The drain current must be switched off by setting the Schottky gate HEMT to a reversed bias, as most GaN-based HEMTs are “usually-on” devices due to the intrinsic characteristics of the AlGaN/GaN heterostructure [76]. The bending of the bands at the interface then creates a Schottky barrier. The Fermi levels in the two materials should be matched at thermal equilibrium whenever a metal or superconductor comes into close contact with a semiconductor. The metal/p-GaN Schottky barrier height is usually proportional to the device’s threshold voltage (Vth). However, an ohmic contact can be formed by heavily reducing the Schottky barrier, enabling current conduction in both directions without rectification. In other words, a HEMT with either a Schottky or ohmic gate can be made by strategically structuring the Schottky barrier.
Overall, it is generally agreed that a Schottky contact is more practical for a p-GaN HEMT than the ohmic contact since the latter induces a relatively large gate leakage current and a lower threshold voltage [77]. Figure 4 depicts Schottky and ohmic contacts for a p-Gan HEMT [78]. The p-GaN layer thickness, acceptor concentration, and gate metal work function (M) are the primary design factors regulating the geometry of the Schottky barrier. These factors impact threshold voltage, breakdown voltage, and transconductance (gm) [79]. In p-GaN gate HEMTs, a good Schottky barrier assures the lack of substantial current injection at the gate side, resulting in a decreased power consumption [80]. Hence, the Schottky gate solution on p-GaN is preferred over the ohmic gate solution.
In addition to establishing off-state characteristics, optimizing the performance of a p-GaN HEMT is also a priority. This section considers factors that significantly influence threshold voltage values, including AlGaN barrier thickness and p-GaN doping concentration. Additionally, since both the on-state resistance and the threshold voltage vary depending on the electron concentration (ne), there is a known trade-off. Table 2 shows compilations of normally-off p-GaN gate HEMTs from recent literature.
The highest threshold voltage and drain current were achieved by Panda et al. [81], with a much thicker p-GaN being implemented. Due to thermal and processing compatibility, stacked Ti/Au or Ni/Au metal gate contacts have generally been preferred for p-GaN HEMT. Chang et al. [85] illustrated the advantages of these gate contacts, achieving a positive threshold voltage shift of 2.2 V in p-GaN HEMTs with Ni/Au gates. Efthymiou et al. [95] also found that a Schottky contact at the p-GaN gate could reduce the gate current by several orders of magnitude and result in a higher gate bias and gate turn-on than with an ohmic contact. When a potential drop is detected across the p-GaN cap layer depletion area, a larger bias voltage with a Schottky gate contact is required to minimize the potential barrier at the p-GaN/AlGaN interface. However, this contradicts the recommendation by Tsai et al. [96], who preferred a hybrid Schottky–ohmic gate contact. A lower gate turn-on voltage is associated with a larger gate metal work function. Increasing acceptor doping does not influence the device’s threshold voltage but alters the gate turn-on voltage at high p-GaN doping levels [95]. As p-GaN doping increases, a device’s threshold voltage at first rises, but with a further increase, it begins to drop. Tight electrical connectivity between the p-GaN layer and gate metal is established through hole tunneling at the metal/p-GaN interface. Introducing a different gate metal cannot appreciably modify the threshold voltage at high doping levels.
However, compared to previous findings, Chiu et al. [97] achieved the best electrical properties in a p-GaN HEMT design by implementing the deposition of an Al2O3/AlN gate insulator layer through the atomic layer decomposition (ALD) process. Figure 5 illustrates the authors’ device structure, which achieved a very high threshold voltage (3 V) and saturation drain current (around 363 mA mm−1). The turn-on voltage in this study was also higher than 20 V, while the gate leakage current was reduced. Overall, these electrical properties are better than those obtained in many other studies [98,99,100]. Hence, including an Al2O3/AlN layer created via ALD helped build a good interface between p-GaN and AlN, increasing the device’s off-state VBR in the MIS gate.
Furthermore, the AlGaN barrier thickness plays a significant role in determining the threshold voltages of p-GaN gate devices. A GaN-based HEMT is typically constructed with a single AlGaN barrier layer with a thickness range of 10–15 nm. However, none of the researchers had considered Al composition within the AlGaN barriers until Wu et al. [101] developed HEMTs with a double barrier layer with consideration for the concentration of Al. Table 3 shows several recent studies on multiple-barrier GaN-based HEMTs. The gate dielectric is also critical for GaN-based MISHEMTs [102]. Although many studies have focused on the gate dielectric material, only a few have considered the importance of length and recessed depth. As seen in Figure 6, Xia et al. proposed a triple barrier layer [103] with a variation of Al content between 15% and 25%, showing superior DC characteristics. As a result, the particular size of the gate dielectric in the device manufacturing process must be further investigated.
Several methods of fabricating p-GaN HEMTs have been developed. A stacked-gate self-aligned patterning technique is commonly used to etch the stacked metal gate and the p-GaN in the same sequence [106]. Essential procedures include the selective etching of overgrown p-GaN layers and the repair process. A high etching selectivity ratio is typically required for the p-GaN HEMT etching technique, as both over- and under-etching negatively impact device performance [107]. Under-etching causes the residual p-GaN layer to deplete the 2DEG to some extent, while over-etching causes the 2DEG density to drop due to a thinner AlGaN barrier layer [87]. Both cases eventually impair conduction. Hence, different approaches have been explored to resolve this concern. For instance, Niu et al. [91] assessed various repair methods and recovered electrical properties by up to 93%. Furthermore, to account for potential surface damage and reduced amplifying effectiveness [108], a solution of backside dry etching was proposed [109], leading to a maximum increase in saturation current density and gm by 21.1% and 25%, respectively. Surface damage may also be minimized by using a selective inductively plasma process (ICP) with a mixture of boron trichloride (BCI3) and sulfur hexafluoride gas (SF6) [110,111]. A recent study by Osipov et al. [112] suggests that stress may also alter 2DEG concentration and thus the electrical properties of AlGaN/GaN HEMTs, because of the piezoelectric nature of GaN. This theory was further proven in another study [113], demonstrating that dielectrics liner stress may cause many piezoelectric charges within the heterostructure underneath the gate metal. Hence, strain engineering is considered an effective method to improve threshold voltage with a scaled gate length.
In sum, devices with normally-off characteristics are highly recommended for power switch applications to assure fail-safe operation. A reliable normally-off HEMT technology is essential for the long-term widespread use of GaN transistors. Due to the favorable trade-off between reliability and cost, the p-GaN gate HEMT is currently the only viable solution [114]. However, various issues, such as threshold voltage instability [115] and increased off-state leakage current persist due to the on-state gate bias [116]. However, the charge-transferring effect [117] of the charge control model may explain the threshold voltage instability. Additionally, high positive threshold voltages are difficult to attain due to the trade-off between the threshold voltage and sheet resistance in the channel [118].

3.2. Self-Heating Issues

With the modernization of semiconductor technologies, designers have continually increased the power density of power devices, leading to increased channel temperatures and decreased drain currents (Ids). For GaN-based HEMTs, a high drain bias (VD) is used for high-power and high-frequency applications, producing a strong lateral electric field from the drain electrode side at the gate edge. As a result, the local lattice’s temperature rises, a result that is known as the self-heating effect. In practice, extreme overheating eventually reduces the lifetime of GaN devices or causes irreparable damage [119], significantly impacting long-term use [103]. Therefore, commercial GaN HEMTs are currently restricted to 2–4 W mm−1 output power, compared to the proven 40 W mm−1 power output as power amplifiers. Lowering the structural temperature would therefore enhance devices’ power efficiency and reliability in the long run [120,121,122]. Amar et al. [123] shared the same concern, believing that HEMT technology failures are primarily linked to operational temperatures exceeding critical levels due to component self-heating. Self-heating may also cause other issues, including gate burying, connection chip-package damage, electron mobility degradation, and current decrease [124]. Thus, thermal management is critical at the design stage [125,126,127,128] to limit performance degradation and increase reliability [129,130].
Improving the thermal design of AlGaN/GaN HEMTs requires precisely estimating the underlying thermal transport mechanisms. When multi-layer architectures with a low thermal conductivity are used in the HEMT structure, they impede heat dissipation from the junction to the substrate [131], increasing the relevance of the GaN layer for effective heat removal. Since heat is created solely around the gate, and the gate length is less than a micrometer, proper temperature monitoring necessitates spatial resolution on a scale of 1 μm or less. Practically, this procedure is possible with micro-Raman spectroscopy and thermoreflectance thermal imaging [132], which allow designers to quantify channel temperatures and map a device’s temperature distribution with a spatial resolution in range. Lundh et al. [133] and Chatterjee et al. [134] used the same method, measuring the lateral and vertical steady-state operating temperatures of AlGaN/GaN HEMTs. Their outcomes revealed that channel temperature could not be calculated exclusively by continuous scale heat transfer principles due to the interaction of heat concentration and subcontinuum thermal transport. It has since been proposed that nanowire-channel HEMTs reduce the temperature dependence and overall threshold voltage for better temperature stability [135]. These findings may be used to assess self-heating effects in HEMTs and as a reference for further improvement.
From a structural perspective, thermal improvement is typically influenced by the substrate materials on which HEMT devices operate. For GaN-based HEMTs, epitaxial layers are commonly grown on a foreign substrate, such as sapphire, silicon (Si), silicon carbide (SiC), or diamond [136]; Figure 7 illustrates the thermal analysis for some of these materials [137]. These dissipative substrates help suppress thermal mismatch while improving thermal stability [138]. It is also determined that a highly resistive substrate may enhance breakdown robustness, but there is always a trade-off between threshold voltage stability and material cost [139].
The most notable substrate materials used to determine the effect of dislocation on thermal behavior are Si and sapphire, preferred due to their low costs [140]. One of the most noteworthy accomplishments using Si was made by Xing et al. [141], who achieved an fT of 250 GHz, the highest for GaN-based HEMTs, on Si with deeply scaled gates. Furthermore, they achieved a 25% increase in output current and a 40% reduction in heat. GaN-on-Si structures are also promising for vertical HEMTs because they could reduce switching loss (Esw), which accounts for significant power loss and device temperature, especially under high-frequencies. Compared to lateral structures, vertical devices have much simpler thermal management [142] but are significantly more difficult to demonstrate on foreign substrates than on native GaN substrates [143]. Therefore, GaN-on-Si HEMTs have been considered the overall best-in-class power semiconductors [144] despite severe limitations due to losses associated with output capacitance [145].
However, the poor thermal conductivity of Si and sapphire restricts heat dissipation during HEMT operation, which may affect electrical performance and reliability [146]. For this reason, replacement substrates with better thermal conductivity, such as GaN or SiC [147], are commonly used. Broad band gaps make these replacement substrates better than Si for very high-temperature operations (up to 600 °C versus 200 °C) [132]. Moreover, their high-power densities can be successfully dissipated at realistic drain efficiencies, avoiding the severe channel temperatures generated by other substrate technologies due to self-heating. Figure 8 illustrates a HEMT structure grown on a heat-dissipating SiC substrate, with the simulated thermal modeling shown in Figure 9. Another benefit of a SiC substrate is that it has a reduced lattice misfit of 3% for GaN, compared to 17% for Si. Hence, devices using GaN and SiC substrates are predicted to function favorably in high-temperature conditions due to their better material characteristics [148].
Less favorably, commercial GaN and SiC bulk substrates have been relatively challenging and expensive to acquire [149]. However, Huang et al. [148] addressed pricing concerns by proposing a low-resistivity SiC (LRSiC) substrate. This proposal has several benefits over Si HEMT, including a larger output current, a higher off-state, a higher vertical breakdown voltage, and a lower dynamic specific on-resistance ratio, which are vital for thermal performance. The LRSiC substrate is also three times less expensive than a standard SiC substrate, as shown in Table 4. Thus, it may be an excellent solution to the heat and cost problems associated with power devices.
AlN can also be implemented to improve heat dissipation in the HEMT design. For example, Cheng et al. [150] investigated AINs inherent thermal conductivity by growing a thick film of AlN on sapphire substrates, improving heat dissipation. This research agrees with a study by Chang et al. [151], who operated GaN-based HEMTs on an AlN substrate or a Cu film, improving electrical and RF performances such as the gm, the drain current, the fT, and the maximum oscillation frequency, as seen in Table 5. The reduction of self-heating helps increase carrier mobility beneath the gate and reduce sheet resistance at the access region, promoting electrical improvement [152,153].
Diamond is also a suitable substrate for further reducing the self-heating effect because of its high thermal conductivity (up to 2000 W m−1 K−1) [154]. Integrating AlGaN/GaN thin-film transistors onto diamond substrates improves heat dissipation and device performance and reliability. For instance, Gerrer et al. [155] have tested this approach, which allowed for more effective heat dissipation, improving performance and reliability with a significant GaN-on-diamond output power of 14.4 W at a Pout of 8.0 W mm−1. The relationship between the geometric parameters of GaN-on-diamond substrates and junction temperature was observed, particularly in relation to diamond thickness. The alteration in thickness correlates to changes in the distance between the diamond and the heat source edges and, thus, to changes in the junction temperature. Hence, as the thickness of the diamond substrate increases, the temperature (T) proportionally decreases [156]. However, the epitaxial development of a diamond substrate is typically more complicated and costly than a SiC substrate [155,157].
A better thermal design is also possible through the construction of HEMTs with Cu-filled structures. Jang et al. applied two different Cu-filled thermal designs [137] under the active portion of the basic GaN-on-SiC (BGS) HEMT, as illustrated in Figure 10. The 2DEG channel’s lateral and vertical lattice temperatures were addressed during device operation, followed by a transient thermal analysis. Figure 10a shows a BGS device, whereas Figure 10b,c illustrates the two thermal structures in the SiC substrate. SiC substrates beneath the active area were etched away, forming Cu trenches or vias. This thermal design benefits from the control of steady-state thermal parameters, such as the vertical lattice temperature, the lateral lattice temperature inside the 2DEG channel, and the heat production rate as power density increases. Overall, Cu-filled thermal structures have lower maximum junction temperatures and attaching thermal structures to GaN HEMTs reduces the time to achieve the maximum lattice temperature. Thus, implementing Cu-filled thermal vias (CTV) improves heat regulation.
Alternatively, thermal improvement is possible with the modification of AlGaN barrier layers. Since GaN and AlGaN layers are much thinner than the substrate layers, their effects on channel temperature should be less substantial. However, the AlGaN layer still controls substrate heat dissipation capability due to the differing thermal conductivities of AlGaN and GaN [158]. An increased room temperature thermal resistance often instigates higher device self-heating and broader temperature gradient layers due to the reduced thermal conductivity of the AlGaN. Wang et al. [159] proposed a viable solution by introducing a back-barrier (BB) layer to the buffer layer, thus limiting the impact of the doped acceptor between the channel and buffer layers. Apart from the thermal improvement, the withstand voltage was also enhanced, which, in turn, decreased the current collapse effect.
For another thermal solution, Chvála et al. [160] proposed a multi-finger power HEMT structure with thermal crosstalk among several individual gate fingers. This structure may help raise structural temperature and reduce power density with compact multi-finger layouts. They considered various thermal bottlenecks in GaN-based HEMTs, including a lower thermal conductance of transition layers, heat transport across interfaces, and thermal conductivity from phonon-scattering processes. Additionally, a commercially available engineered substrate, Qromis Substrate Technology (QST), has already been proven to mitigate the impact of low heat dissipation [161]. The overall thermal resistance of QST substrate is lower than that of Si substrate due to its higher thermal conductivity, which may lessen the influence of heat on a device. Micro-trench structures packed with Cu can also be modeled to offer a heat escape path from any hot region, leading to considerable improvements in electrical performance [162]. As shown in Figure 11, heat generation can be firmly focused within the channel on the drain side of the gate, hence dramatically lowering temperatures in these hot areas.
In short, self-heating is a critical concern in HEMTs due to the possibility of locally reaching a high power density and a non-uniform thermal dissipation. This concern is also supported by the fact that many of these devices’ features, including electron mobility, the saturation rate, and the thermal conductivity, are temperature-dependent [163]. Thus, thermal behavior significantly influences a HEMTs long-term reliability [164,165], as shown by the possible gate burying, deterioration of the feed metal interconnection, and degradation of the Schottky contact, which all eventually impact the failure rate [166,167,168]. Given the availability of various HEMT substrates to improve thermal behavior, a significant trade-off exists between performance and manufacturing costs.

3.3. Current Collapse, Peak Electric Field Distribution, and Gate Leakage

Another critical challenge for a GaN HEMT is current collapse, or on-state resistance (RDS,ON) dispersion [169]. The fundamental source of this issue is the formation of a virtual gate between gate and drain terminals. When the gate and drain voltages are adjusted rapidly, slow current transients can occur, often referred to as gate lag and drain lag [170]. This issue manifests as an increase in the dynamic on-state resistance in switching devices [171] and significantly affects a device’s long-term reliability.
Furthermore, there are also issues with the high peak electric field, which occurs at the gate edge of the drain side during operation under high bias circumstances [172]. This high electric field may facilitate charge trapping between the passivation layer and III-nitrides interface. Electrons may also become stuck in free surface states under a strong electric field, triggering virtual gating and current collapse [173]. Owing to smaller gate–drain spacing, devices undergo significantly higher current collapse when scaled down for high-speed operation, amplifying the virtual gating effect of surface traps. Moreover, controlling the electric field distribution between the gate and drain is critical for obtaining a linearly scaled breakdown voltage per channel length. Scaling high-power GaN-based HEMTs to achieve low on-resistance and gate charge (Qg) is thus still a challenge for high-power and high-speed operation. Hence, the peak strength of the electric field at the gate edge must be reduced to achieve a high breakdown voltage [174].
Likewise, the gate leakage current is an essential parameter for GaN HEMTs and is directly linked to device performance and reliability. Forward gate leakage current restricts the gate voltage swing and results in drive losses, while the reverse may result in off-state power consumption and a reduction of VBR [175]. Excessive gate leakage currents are not permitted, as they may lead to unwanted power consumption. Therefore, setting the Schottky gate to a reversed bias can help evade potential power loss by switching off the drain current. For this reason, research studies on gate leakage mechanisms are commonly linked to peak electric field distribution and current collapse concerns [176]. The supply of active electron traps between the gate and drain decreases dramatically with the peak electric field, resulting in a lower current collapse and knee walkout [177]. Large band gaps and significant band offsets for gate insulators are thus required to suppress gate leakage current, even at forward bias.
Some viable solutions to address these concerns include FP implementation, surface passivation, and gate structure variations. FP refers to an extension of the gate deposited onto the passivation layer toward the drain side, where the electric field at the AlGaN surface decreases. As shown in Figure 12a, the metallization layer sits on top of the passivation layer of HEMTs and prevents the current collapse effect by reducing the peak electric field near the gate’s drain edge [178]. In theory, the profile of the electric field distribution improves as FP successfully broadens the depletion region with multiple peaks that may substitute for a single peak, resulting in a more uniform electric field distribution [179]. FP implementation also helps reduce reverse leakage current. By providing an extra surface for field line termination and thus dispersing the electric field over a longer gate-to-drain interval, FP can reduce the maximum electric field and lessen electrical field congestion at the drain side of the gate edge.
To date, various architectures of HEMT have used FP. For instance, Zhang et al. [180] discovered that FP technology might give lateral power devices a novel charge-balancing effect. Wong et al. [173] created a GaN HEMT with an innovative asymmetric slant FP, achieving a high breakdown voltage of 146 V with the aim of increasing the breakdown voltage without increasing the device size. This outcome was consistent with a study by Chen et al. [181], which found that the potential dispersion near the drain edge grew as the source voltage increased, caused primarily by the increasing electric field between the gate and drain areas. There was also a rise in potential near the standard FP edge, resulting in an extremely high electric field of 4.8 MV cm−1. Kabemura et al. also investigated this topic [182] and saw an enhancement in breakdown voltage when using short- and moderate-length FPs on HEMTs. Table 6 reports multiple recent findings on the characteristics of HEMTs using FP and highlights the importance of optimizing devices’ geometrical parameters.
As shown in Table 6, few FP HEMTs have employed a GaN cap layer to help suppress self-heating effects and current collapse. This layer also shields the AlGaN surface from oxidation, offers an extra barrier at the Schottky contact, and decreases leakage current [195]. The concept could be further enhanced with a high-resistivity GaN cap layer, which can improve the electric field distribution, current collapse, and breakdown capability, resulting in a high VBR of 1020 V [196]. Nirmal et al. [184] investigated this theory further by adding an AlN layer between the SiN and GaN layers, as shown in Figure 13a, resulting in a 6.26% increase in drain current compared to the conventional design. Breakdown voltage was also 14% higher, while the current collapse was reduced by 10%. These improvements were caused by the AlN cap layer, which can accommodate more heat than the GaN cap layer due to its better thermal conductivity of 2 W cm−1 K−1. Thus, a sandwiched AlN layer helps reduce lattice mismatch and trap charges at the SiN/AlN interface, ultimately improving the proposed HEMT design.
FP technology has been continually modified to improve performance. Wong et al. [173] recently developed an exceptional SiN slant FP on AlGaN/GaN HEMTs by employing the surface tension properties of hydrogen silsesquioxane (HSQ) on a pre-patterned plasma-enhanced chemical vapor deposition (PECVD) SiN dielectric. With fT/fmax = 41/100 GHz, the resulting HEMT with a tuned slant FP displayed a very low dynamic-specific on-resistance and a solid high-frequency performance. Augustine Fletcher et al. [179] achieved a similar result using a discrete FP with part of the lateral plate removed, as shown in Figure 14. With a high breakdown voltage of 330 V, compared to 298 V in a conventional design, the discrete FP reduced the maximum electric field between the gate and drain regions. Furthermore, the FP gate HEMTs leakage current was around ten times lower than that of the non-FP design. This lower current may be attributed to the FPs smooth electric field distribution, which effectively lowers the inverse piezoelectric and electron tapping effects in the AlGaN barrier layer. The improvement can also be attributed to fewer defects generated via gate leakage.
Soni et al. performed further research on FPs [197] by comparing three designs: a drain-connected lateral FP, a drain-connected vertical FP, and a dual-FP structure. A significant breakdown voltage roll-off was observed after increasing FP length in a lateral design due to a change in the peak electric field from the drain edge to the gate edge. This was followed by an increase in the peak electric field at the gate edge. In a drain-connected vertical design, the breakdown voltage is restricted by the buffer thickness, resulting in a breakdown voltage roll-off as the FP thickness increases. However, both concerns are addressed by the dual-FP structure, which allows the electric field to be shared over the gate and drain side, especially in scaled designs. This enables the scaling of HEMTs with a dual-FP architecture, improving the on-state performance without sacrificing the breakdown performance.
Xia et al. [198] investigated the potential of micro-FP technology. They found that the suggested technique may offer a charge balancing effect for HEMTs with better performance than a lateral structure. This outcome is due to the impact on the potential distribution, resulting in an expanded electric field distribution between the gate and drain and a peak electric field concentration at the micro-drain field plate (D-FP), the gate field plate (G-FP), and the source field plate (S-FP) edge. Figure 15 shows the schematic diagram of HEMT with a micro-FP structure.
FP remains in contact with the passivation layer made of nitride or oxide, preventing electron leakage with high-density shallow surface traps [199]. A passivation layer such as Si3N4 can be formed with the metal-organic chemical vapor deposition (MOCVD) method, which helps lessen the influence of surface states that restrict saturation current and the device’s breakdown voltage [200]. Hence, using a passivation layer can improve the saturation current, the breakdown voltage, and the noise level [201]. However, several elements of a passivation layer’s action mechanism, such as layer thickness, are still being debated [202].
When designing HEMTs, it is also essential to include a gate insulator layer between the AlGaN barrier layer and the gate metal to reduce gate leakage [203]. For gate insulator applications, various dielectric materials have been investigated, including hafnium dioxide (HfO2), silicon dioxide (SiO2), aluminum oxide (Al2O3), silicon nitride (SiNx), and zirconium dioxide (ZrO2). High-k dielectrics, in particular, promise particularly beneficial channel controllability for low off-state leakage currents, high on-to-off ratios, and low SS, suggesting improved power efficiency in device applications [23]. Table 7 shows dielectric characteristics for commonly used high-k materials [204]. However, although the threshold voltage may be raised, many gate-related adjustments result in undesirable side effects such as excessive gate leakage and low gate swing. High-quality gate dielectrics are therefore required to minimize gate leakage and retain the inherent high mobility of 2DEG, particularly in the recess gate structure, which often oversees scatterings from a poor dielectric/GaN surface, resulting in decreased gate reliability. Further, an additional gate dielectric layer usually results in more complicated material interfaces, and the interface quality substantially influences the device’s electrical properties [205]. Hence, the interface quality of HEMT devices warrants further study.
Currently, HfO2 is the most extensively used high-k gate insulator, particularly in the Si complementary metal-oxide semiconductor (CMOS) industry, due to its high-k value and large band gap (5.8 eV). However, using such a dielectric layer typically increases the complexity of the new interface; HfO2 suffers from extreme oxygen transparency, which introduces unfavorable Ga–O bonds in GaN-based devices and degrades the condition of the HfO2/GaN interface [206]. While HfO2 HEMTs can attain more efficient electrostatic control, they suffer from excessive leakage current owing to an inadequate barrier height, which degrades device performance through gate leakage. This drawback was noted by Huang et al. [207], who recommend devices with SiNx gate dielectric over those with HfO2 due to a better electrical stability and a low threshold voltage drift resulting from a lower interface trap density. Therefore, the superior electrical stability of the MIS-HEMTs with SiNx gate dielectrics can be attributed to their greater interface quality. However, this information might not be accurate across all applications, such as in the space industry, where the impact of proton radiation on a HEMT device using a dielectric layer must also be considered. As per a study by Lee et al. [208], proton irradiation induces negative charges in gate dielectric layers, which can degrade certain performances of MISHEMTs, such as threshold voltage shift and the reduction of drain current. This investigation indicated that the Al2O3 dielectric layer is considerably more suitable than SiNx as a gate insulator for AlGaN/GaN MISHEMTs in space applications since the increased induced charge density in the MISHEMT is not severe, resulting in less degradation of electrical properties. However, it is still feasible to enhance the dielectric behavior of HfO2 by incorporating Si into the dielectric layer, improving the breakdown strength and interface properties. A study by Li et al. [209] found that including Si in HfO2 reduced the fixed oxide traps and interface trap density within the dielectric, thereby boosting the breakdown properties of the dielectric.
To further advance high-k metal gate technology, high-mobility substrates for CMOS technologies, such as III-V compound semiconductor materials, have also been investigated. The direct deposition of high-k dielectric can reduce the burden of finding a stable oxide such as SiO2. However, due to the intrinsic features of III-V surfaces and their oxidation chemistry, fabricating the high-k/III-V material interface is very challenging and typically results in a high interface state density, leading to a higher concentration of interface states with Fermi-level pinning. Overall, interface properties appear to depend on the deposition technique, a combination of deposition parameters, the substrate surface orientation, pre-deposition surface treatments, and the subsequent annealing temperatures based on the electrical performance [210].
Inserting a high-permittivity passivation layer or a material with a high dielectric constant (k) to boost breakdown behavior should also be considered, as this directly influences the smoothness of the electric field profiles between the gate and the drain. As the electric field at the drain edge of the gate is lowered, the breakdown voltage rises with εr. The breakdown voltage is also enhanced in the high εr area when the gate voltage is more negative since the buffer leakage current is likewise lowered [182]. There is a direct correlation between the band gap and the permittivity for materials widely used as passivation layers, as seen in Figure 16, which emphasizes their trade-offs [211]. Given the massive difference in permittivity between high-k dielectrics and AlGaN, a high-k film should be able to transmit or extract electric flux more effectively from the semiconductor surface. Multiple studies have also demonstrated this impact by implementing different passivation layer materials, such as MgO, SiO2, ZnO, and Si3N4, with varying k. It is noteworthy that the drain current typically increases with high-k passivation layers. Furthermore, the surface effects are reduced, boosting the channel carriers and increasing the drain current [212]. This outcome agrees with the usage of high-k dielectric material as a passivation layer, ultimately reducing the dynamic specific on-resistance or current collapse while improving breakdown voltage [213].
Implementing multiple passivation layers in a single HEMT device may also improve performance. In one study [214], the breakdown voltage for a double passivation layer structure was enhanced significantly against a single passivation of SiN due to the weakened electric field around the drain edge of the gate. The stack passivation layer of Al/SiN also minimizes damage at the AlGaN surface. A similar improvement was observed in another study [215] with an Al/SiN stack layer, in which the gate leakage was reduced by several orders of magnitude, effectively suppressing moderate current collapse and improving the breakdown voltage by 32.8%. Murugapandiyan et al. [216] studied a dual SiN/AlN passivation HEMT with a self-heating model and showed a 60% increase in drain current density and a 63% increase in gm; thus, they found that the model was reliable and stable for an extensive range of operations.
A charged passivation layer (CPL) has also been considered for a GaN HEMT structure to enable higher modulation of the electric field distribution along the channel layer, thus improving the homogeneity of the electric field along the entire channel [217]. In general, CPL HEMTs outperform traditional structures in breakdown voltage, frequency performance, and specific on-resistance. In addition, a study reported that a graphene layer (GL) could be mounted above the SiN passivation layer of HEMT, improving devices’ thermal management [218]. Due to the excellent hydrophobic properties, trapping effects are efficiently prevented, particularly those that are water-related. This implementation allows for a thinner SiN layer, reducing fringing capacitance without compromising water-related current collapse effects. These findings emphasize the importance of the GL in increasing the SiN passivation layer’s moisture resistance while maintaining the AlGaN/GaN MISHEMT’s electrical properties.
Several new ideas concerning barrier layer variations have been introduced to address current collapse and E-field distribution concerns. A novel design of enhancement-mode GaN HEMT with a thick GaN buffer and a step-etched GaN structure (SGB) has been explored [219], resulting in improved forward output characteristics. As shown in Figure 17, a thin GaN buffer without a step structure (TGB) and a conventional GaN buffer structure (CGB) were developed for comparison. As the GAN buffer’s thickness increased, the breakdown voltage and maximum current drive capacity increased only marginally, as detailed in Figure 18. A recent proposal implemented an ultra-thin barrier (UTB) and a local charge compensation trench (LCCT) [220]. Deeper and longer LCCTs produce more negative charges, resulting in a high E-field redistribution capacity. Hence, any potential lattice damage in the barrier might be avoided. This topology modulates the 2DEG concentration to smooth the reverse E-field by injecting additional negative charges.
Current collapse in GaN HEMTs can also be addressed through notch formation between the gate and drain. A notch in the AlGaN barrier layer may help reduce the 2DEG concentration inside the channel while suppressing the peak electric field alongside the gate electrode. Figure 19 illustrates a basic HEMT with a single notch structure. Zou et al. [221] investigated the impact of the dimensions and numbers of notch structures by covering six models with varying notch designs. Compared to the conventional AlGaN/GaN HEMT, double-notch HEMT showed the most significant DC and RF performances, including increases of 30% in gate voltage swing, 42.2% in breakdown voltage, and 9% in fT, in addition to strong suppression of the current collapse.
It is also essential to address the challenges outlined above through structural variation of the gate. The focus is on efficiently distributing the electric field while effectively managing current collapse and other electrical properties, such as breakdown voltage and gm. A significant electrical improvement has been observed when the gate structure has been changed from an FP to a gamma gate [222]. A similar outcome was recorded for a slanted tri-gate design [223], which efficiently distributed the electric field and significantly enhanced the breakdown voltage. This gamma gate structure can be engineered through lithography by adjusting the width of the tri-gate nanowires. Accordingly, the impact of the gate length has been addressed in two commercial Gan/AlGaN HEMT devices with different gate lengths, as listed in Table 8. Both models ultimately share the same voltage breakdown behavior and drain current; therefore, no differences are expected regarding gate leakage or current collapse [224].
Increasing the number of gate contacts may also reduce current collapse and address high peak E-field issues. For instance, a dual-metal-gate (DMG) construction is superior to a typical single-metal-gate (SMG) structure for achieving the channel’s appropriate electric field distribution. Accordingly, the E-field with a DMG structure is better distributed due to its improved ability to suppress current collapse while boosting overall electrical properties [225]. This information also applies to a tri-gate structure [226] coupled with a hybrid ferroelectric charge trap gate stack. Due to electrostatic control by trapped charges in the charge-trapping layer on the nanowire sidewalls and optimization of the tri-gate form, this structure exhibits a low current collapse and robust electrical characteristics. The hybrid ferroelectric charge trap gate stack also provides a high density of negative charges, resulting in a high positive threshold voltage. However, this result contradicts another study [227] in which a triple material gate (TMG) HEMT provided a lower threshold voltage than SMG and DMG HEMTs. The application of a comb-gate design within AlGaN/GaN HEMT devices has also been proposed, which effectively reduces the off-state leakage current by three orders of magnitude. However, this topology may be insufficient due to the limitation of breakdown voltage, even if the short-channel effect is suppressed. Nonetheless, the quasi-normally-off comb-gate devices are superior to the typical design in regard to switching characteristics and on-state performance, particularly on-state resistance, in the absence of recess operations for device setup [228]. Another study [229] explored the idea of combining gate and ohmic recess. Due to the achievable maximum E-field and electron mobility with a reduced gate channel distance, they were able to attain a low leakage current.
Trench formation is also key to demonstrating high-voltage behavior while addressing some of the challenges associated with HEMT devices. A trench is typically formed between the nucleation and GaN layers, as shown in Figure 20. Zhang et al. [230] presented two trench structures to identify the impact on blocking capability. They found that a flat-bottom rounded trench is the best option for high-voltage vertical GaN power devices, with the lowest possible gate leakage current and the highest breakdown voltage of 500 V. Yang et al. [231] also suggested a novel method of preventing electrons from becoming trapped in the GaN buffer by developing a deep-source metal trench in the GaN HEMT structure. Four device structures were used for comparison: a conventional HEMT, a device with a deep-source contact trench within the mesa area, and double-gate HEMTs with and without the trenches outside the region. Improvement in the current collapse was observed for devices with the source trench within the mesa due to the redistribution of the electric field profile.
To summarize, the challenges associated with current collapse, leakage current, and high peak E-field may be addressed through different structural solutions. To mitigate the impact of ionization on breakdown voltage, for example, it is essential to control the peak electric field. This can be achieved by implementing the correct FP approach. As reported in many studies, the FPs’ purpose is to disperse the electric field profile and lower the electric field peak value, thus minimizing trapping while enhancing the breakdown voltage. A better gate control performance will eventually cause the threshold voltage to become positive and the breakdown voltage to rise due to the smooth electric field distribution. However, the influence of the FP on the electric field is still affected by various factors, including the device’s architecture, thickness, doping concentration, and the k of each layer, which all influence the electric field distribution. Other structural solutions using gate structures, barrier layers, trenches, and notches are also possible.

3.4. High Ohmic Contact Resistance

Another challenge associated with HEMT devices is the high level of ohmic contact resistance. In basic terms, the ohmic contacts of HEMT are the device’s access points for connecting to external circuits. Ideally, their resistance should be very low compared to the channel drift region in order to lower the device’s specific on-resistance. Hence, the source and drain ohmic contact resistance (Rc) should be kept as low as possible for high-power HEMTs [232]. However, the enormous band gap, which naturally favors Schottky connections, makes it difficult to produce excellent ohmic contacts on GaN-based materials. The resulting output power, power efficiency, frequency responsiveness, and noise performance are all known to depend on a low drain ohmic contact resistance. As a result, the work function and thickness of metal layers, the semiconductor doping level, the annealing temperature, the recess depth of the barrier layer, and other parameters require further optimization.
In recent years, different metallization strategies have been employed to achieve low contact resistance. Principally, it would seem that adding Si into the AlGaN barrier would help reduce the ohmic contact resistance. However, when the contacts are annealed at high temperatures to activate the Si dopants, the dopants immediately diffuse away from the contacts, resulting in an increased gate leakage current and charge trapping. Thus, the development of ohmic contacts in AlGaN/GaN heterostructures remains the only solution for ohmic contact resistance issues in modern GaN technology. This is a significant barrier to developing Al-rich AlGaN transistors, as the process of ohmic contact formation becomes significantly more complicated in the presence of an AlGaN barrier and 2DEG [233]. To fully comprehend the development of ohmic connections in heterostructures, one must also fully consider Al concentration and the thickness of the AlGaN barrier layer, which affect features of the 2DEG. The electron affinity in AlGaN transistors decreases when the Al concentration increases, causing massive Schottky barriers at the metal–semiconductor interface. As a result, though shifting to a higher Al composition has numerous advantages, forming an excellent ohmic contact becomes progressively challenging [234].
The combination of multiple ohmic contact materials of HEMT devices is considered the most significant influence on the contact resistance, with multi-layer materials typically applied. The traditional ohmic contact in GaN HEMTs is formed with a Ti/Al/Ni/Au metal stack [235,236,237,238] due to its ease of evaporation and superior electrical properties. Conducting intermetallic titanium aluminide (Ti–Al) is thought to aid electron transport mechanisms, resulting in a low ohmic contact resistance. However, since a HEMT with Au-based ohmic contact is typically incompatible with the latest CMOS technology, recent research has shifted toward Au-free ohmic contacts [239]. An example of this alteration is the combinations of Ti/Al and Ti/Al/Ti/W metal schemes, which lead to superior electrical performance [240], improving maximum drain current by 40.7% compared to conventional structures. It has also been discovered that adding a Ti/W cap layer on top of the Ti/Al ohmic layer results in a much lower contact resistance and a smooth contact surface morphology. The low ohmic contact resistance of 0.56 Ω mm has been achieved with moderate post-metal annealing settings of 600 °C, one of the lowest recorded values for similar metal schemes. Gao et al. [241] experimented with this idea by proposing a quadruple metal stack of Ti/Al/Ni/Ti ohmic contacts and showed an increased edge sharpness and surface metal morphology. This research also revealed an increased breakdown voltage, a more concentrated statistical distribution, and a lower ohmic contact resistance.
Constant et al. [242] investigated barrier height dependence on specific contact resistance for Au-free ohmic contacts generated on AlGaN/GaN heterostructures. They found that lowering the AlGaN thickness to an optimum level, at which a maximum polarization field-induced carrier density (ND-2DEG) is produced, reduces specific contact resistance. Li et al. [240] made a similar observation, discussing the reduced thickness of the barrier and the broader area for tunneling. Electron tunneling is therefore projected to improve massively, substantially lowering the contact resistance. On the other hand, it is also believed that the annealing temperature and ohmic groove etching significantly influence the ohmic behavior, device performance, and surface topography of HEMT devices [243]. Zhu et al. [244] have explored this theory by utilizing six different samples with varying combinations for the metal stack, as shown in Table 9. In sum, excellent ohmic connections are critical for regulating the annealing temperature and duration to balance the pace of different reactions.
Regarding metallization procedures with multi-layer Ti/Al structures and ohmic grooves, Zhu et al. [244] explained the detailed etching process, as depicted in Figure 21. The study highlighted the impact of different Ti/Al electrode layers, annealing temperatures, and ohmic groove depth on the ohmic characteristics of the HEMT devices. It found that the upgraded device achieved the best performance in terms of contact resistance, with the lowest specific contact resistivity of 2.2471 × 10−5 cm2 and the lowest contact resistance of 0.91014 Ω mm. These values are 71.8% and 54.3% lower than the conventional structure, respectively. These experimental results match older studies [243,245], with the Ti/Al layer for reduced resistance and the Ni/Au layer for smooth surface morphology, in which implementing an annealing temperature ohmic groove with rapid annealing at a high temperature is a decent approach to addressing the concern of high contact resistance. The duration of annealing is also an equally critical factor. In sum, excellent ohmic connections are essential for regulating the annealing temperature and duration in order to balance the pace of different reactions.

4. Conclusions and Future Prospects

In the past 6 years, we have seen the continuous development of AlGaN/GaN HEMT technology, and along the way, there have been many challenges encountered by designers to produce AlGaN/GaN HEMT with optimum performance. From a structural perspective, recent studies have proposed adequate solutions, showing opportunities for this technology to continue maturing. The following findings are drawn from the review:
(1)
As the industry demands normally-off devices for safety reasons, implementing the feature remains a research challenge. Ultimately, the issue requires solutions at the device technology level. p-GaN remains the only viable structural solution. However, issues such as degradation and mechanism failure still exist and require fixes to improve reliability and manufacturability. One suggestion for future improvement is to explore further the idea of a gate insulator layer that can achieve a high threshold voltage, a saturation drain current, and a turn-on voltage while reducing the gate leakage current and instability. This implementation involves the deposition of the Al2O3/AlN gate insulator layer in the p-GaN HEMT design, which can be further improved with modifications such as barrier layer variation. Nevertheless, more investigation is required before it can be widely adopted.
(2)
To address self-heating issues, comprehensive device thermal management, mainly focusing on the variation of extrinsic substrates as heat spreaders, is essential for reliable and robust HEMT devices. However, materials with a high thermal conductivity, such as diamond substrates, are still not a viable option due to their lack of compatibility with other substrate materials (GaN-diamond lattice mismatch). This leaves SiC as the most feasible option. To further close the gap between cost and performance, we propose the idea of exploring an LRSiC substrate. On top of being three times less expensive than a standard SiC substrate, it delivers better thermal management than a Si substrate. This suggests that LRSiC could be an excellent and cost-effective solution to the heat problem. However, more research is also required before it can be widely accepted.
(3)
Several structural solutions have been identified to resolve the challenges related to a high peak electric field, leakage current, and current collapse. To date, FP technology is a proven solution that can effectively control electric field distribution and lower the peak electric field below the GaN material’s critical electric field. Another possible way is through various structural modifications, including surface passivation, notches, trenches, gate structures, and barrier layer variations. Combining these different structures could resolve these issues. For instance, adding FP and notch structures on the same device could further reduce the challenges of a high peak electric field, leakage current, and current collapse.
(4)
Using different metallization strategies is a popular method of overcoming the problem of high-resistance ohmic contact. Researchers have demonstrated that implementing a stack of several materials may help improve ohmic contact resistance. We can expect other material combinations to be exploited in the near future, which may further enhance the ohmic contact.
Although tremendous improvements have been made in GaN device performance, there are still significant gaps between the observed device performance in real-world ap-plications and theoretical predictions. For example, cost and material crystallization quality must be considered in practical research, as these factors will eventually decide whether the ideas can be fulfilled commercially. This study has thus identified many structural conceptualizations proven to overcome existing challenges associated with HEMT devices. Ideal characteristics for practical transistor applications, such as a stable threshold voltage, a low leakage current, a high transconductance, an effective current control with a high linearity, and a wide dynamic input voltage range, have the potential to be discovered.

Author Contributions

Conceptualization, M.H., H.K. and M.S.; investigation, M.H. and S.F.; data curation, M.H. and S.F.; writing-original draft preparation, M.H., S.F. and M.S.; writing—review and editing, M.H., S.F. and M.S.; supervision, M.S., A.A.M. and H.K.; funding acquisition, A.A.M. and M.S. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the ASEAN University Network/Southeast Asia Engineering Education Development Network Japan International Cooperation Agency Project (AUN/SEED-NET JICA), grant number 304/CINOR/6501128/A119.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Acknowledgments

The authors would like to express their gratitude to INOR, USM, for providing research facilities.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Hu, J.; Stoffels, S.; Zhao, M.; Tallarico, A.N.; Rossetto, I.; Meneghini, M.; Kang, X.; Bakeroot, B.; Marcon, D.; Kaczer, B.; et al. Time-Dependent Breakdown Mechanisms and Reliability Improvement in Edge Terminated AlGaN/GaN Schottky Diodes under HTRB Tests. IEEE Electron. Device Lett. 2017, 38, 371–374. [Google Scholar] [CrossRef]
  2. Ma, J.; Matioli, E. High-Voltage and Low-Leakage AlGaN/GaN Tri-Anode Schottky Diodes with Integrated Tri-Gate Transistors. IEEE Electron. Device Lett. 2017, 38, 83–86. [Google Scholar] [CrossRef] [Green Version]
  3. Wu, Y.; Zhang, J.; Zhao, S.; Zhang, W.; Zhang, Y.; Duan, X.; Chen, J.; Hao, Y. More than 3000 v Reverse Blocking Schottky-Drain AlGaN-Channel HEMTs with >230 MW/cm2 Power Figure-of-Merit. IEEE Electron. Device Lett. 2019, 40, 1724–1727. [Google Scholar] [CrossRef]
  4. Chang, S.-J.; Cho, K.J.; Jung, H.-W.; Kim, J.-J.; Jang, Y.-J.; Bae, S.-B.; Kim, D.-S.; Bae, Y.; Yoon, H.S.; Ahn, H.-K.; et al. Improvement of Proton Radiation Hardness Using ALD-Deposited Al2O3 Gate Insulator in GaN-Based MIS-HEMTs. ECS J. Solid State Sci. Technol. 2019, 8, Q245–Q248. [Google Scholar] [CrossRef]
  5. Wang, Y.; Fei, X.-X.; Wu, X.; Li, X.; Yang, J.; Bao, M.; Cao, F. Simulation Study of Single-Event Burnout in GaN MISFET with Schottky Element. IEEE Trans. Electron. Devices 2020, 67, 5466–5471. [Google Scholar] [CrossRef]
  6. Huang, H.; Sun, Z.; Cao, Y.; Li, F.; Zhang, F.; Wen, Z.; Zhang, Z.; Liang, Y.C.; Hu, L. Investigation of Surface Traps-Induced Current Collapse Phenomenon in AlGaN/GaN High Electron Mobility Transistors with Schottky Gate Structures. J. Phys. D Appl. Phys. 2018, 51, 345102. [Google Scholar] [CrossRef]
  7. Li, Y.; Jia, Y.; He, Y.; Zhao, Y.; Huang, A.Q.; Zhang, L.; Lei, Y.; Yu, R.; Ma, Q.; Huang, Q.; et al. Evaluation and Analysis of Temperature-Dependent Dynamic RDS, ON of GaN Power Devices Considering High-Frequency Operation. IEEE J. Emerg. Sel. Top. Power Electron. 2020, 8, 111–123. [Google Scholar] [CrossRef]
  8. Li, R.; Wu, X.; Yang, S.; Sheng, K. Dynamic On-State Resistance Test and Evaluation of GaN Power Devices Under Hard- and Soft-Switching Conditions by Double and Multiple Pulses. IEEE Trans. Power Electron. 2019, 34, 1044–1053. [Google Scholar] [CrossRef]
  9. Sang, L.; Li, X.; Huang, W.; Rui, J.; Pang, D. A Novel Approach for the Modeling of HEMT High Power Device. Int. J. Numer. Model. Electron. Netw. Devices Fields 2017, 30, e2172. [Google Scholar] [CrossRef]
  10. Zhang, Y.; Lu, X.; Zou, X. Device Design Assessment of Gan Merged P-i-n Schottky Diodes. Electron. 2019, 8, 1550. [Google Scholar] [CrossRef]
  11. Abdelrahman, A.S.; Erdem, Z.; Attia, Y.; Youssef, M.Z. Wide Bandgap Devices in Electric Vehicle Converters: A Performance Survey Dispositifs à Large Bande Interdite Dans Les Convertisseurs Des Véhicules Électriques: Une Revue Sur La Performance. Can. J. Electr. Comput. Eng. 2018, 41, 45–54. [Google Scholar] [CrossRef]
  12. Borga, M.; Meneghini, M.; Zanoni, E.; De Santi, C.; Stoffels, S.; Bakeroot, B.; Li, X.; Zhao, M.; Van Hove, M.; Decoutere, S.; et al. Modeling of the Vertical Leakage Current in AlN/Si Heterojunctions for GaN Power Applications. IEEE Trans. Electron. Devices 2020, 67, 595–599. [Google Scholar] [CrossRef] [Green Version]
  13. Debnath, A.; Dasgupta, N.; Dasgupta, A. Charge-Based Compact Model of Gate Leakage Current for AlInN/GaN and AlGaN/GaN HEMTs. IEEE Trans. Electron. Devices 2020, 67, 834–840. [Google Scholar] [CrossRef]
  14. Hirose, T.; Imai, M.; Watanabe, K. GaN HEMT Technology for Environmentally Friendly Power Electronics. Fujitsu Sci. Tech. J. 2017, 53, 74–80. [Google Scholar]
  15. Crupi, G.; Vadalà, V.; Colantonio, P.; Cipriani, E.; Caddemi, A.; Vannini, G.; Schreurs, D.M.M.P. Empowering GaN HEMT Models: The Gateway for Power Amplifier Design. Int. J. Numer. Model. Electron. Netw. Devices Fields 2017, 30, e2125. [Google Scholar] [CrossRef]
  16. Meng, Q.; Lin, Q.; Jing, W.; Han, F.; Zhao, M.; Jiang, Z. TCAD Simulation for Nonresonant Terahertz Detector Based on Double-Channel GaN/AlGaN High-Electron-Mobility Transistor. IEEE Trans. Electron. Devices 2018, 65, 4807–4813. [Google Scholar] [CrossRef]
  17. Sano, S.; Ebihara, K.; Yamamoto, T.; Sato, T.; Miyazawa, N. GaN HEMTs for Wireless Communication. SEI Tech. Rev. 2018, 85, 65. [Google Scholar]
  18. Anand, A.; Reeta; Rawal, D.S.; Narang, R.; Mishra, M.; Saxena, M.; Gupta, M. A Comparative Study on the Accuracy of Small-Signal Equivalent Circuit Modeling for Large Gate Periphery GaN HEMT with Different Source to Drain Length and Gate Width. Microelectron. J. 2021, 118, 105258. [Google Scholar] [CrossRef]
  19. Pharkphoumy, S.; Janardhanam, V.; Jang, T.-H.; Park, J.; Shim, K.-H.; Choi, C.-J.; Optimized, C. Optimized Device Geometry of Normally-On Field-Plate AlGaN/GaN High Electron Mobility Transistors for High Breakdown Performance Using TCAD Simulation. Electronics 2021, 10, 2642. [Google Scholar] [CrossRef]
  20. Adak, S.; Chand, N.; Swain, S.K.; Sarkar, A. Effect of AlGaN Back Barrier on InAlN/AlN/GaN E-Mode HEMTs. In Proceedings of the 2019 IEEE Devices for Integrated Circuit (DevIC), Kalyani, India, 23–24 March 2019. [Google Scholar] [CrossRef]
  21. Ye, H.; Gaevski, M.; Simin, G.; Khan, A.; Fay, P. Electron Mobility and Velocity in Al0.45Ga0.55N-Channel Ultra-Wide Bandgap HEMTs at High Temperatures for RF Power Applications. Appl. Phys. Lett. 2022, 120, 103505. [Google Scholar] [CrossRef]
  22. Carey, P.H.; Pearton, S.J.; Ren, F.; Baca, A.G.; Klein, B.A.; Allerman, A.A.; Armstrong, A.M.; Douglas, E.A.; Kaplar, R.J.; Kotula, P.G. Extreme Temperature Operation of Ultra-Wide Bandgap AlGaN High Electron Mobility Transistors. IEEE Trans. Semicond. Manuf. 2019, 32, 473–477. [Google Scholar] [CrossRef]
  23. Zine-eddine, T.; Zahra, H.; Zitouni, M. Design and Analysis of 10 Nm T-Gate Enhancement-Mode MOS-HEMT for High Power Microwave Applications. J. Sci. Adv. Mater. Devices 2019, 4, 180–187. [Google Scholar] [CrossRef]
  24. Gucmann, F.; Qu, Y.; Deng, N.; Yuan, Y.; Hu, W.; Liu, H.; Wu, S.; Wang, H. Electrical and Thermal Characteristics of AlGaN/GaN HEMT Devices with Dual Metal Gate Structure: A Theoretical Investigation. Materials 2022, 15, 3818. [Google Scholar] [CrossRef]
  25. Gassoumi, M.; Helali, A.; Maaref, H.; Gassoumi, M. DC and RF Characteristics Optimization of AlGaN/GaN/BGaN/GaN/Si HEMT for Microwave-Power and High Temperature Application. Results Phys. 2019, 12, 302–306. [Google Scholar] [CrossRef]
  26. Subramani, N.K.; Couvidat, J.; Hajjar, A.A.; Nallatamby, J.-C.; Sommet, R.; Quere, R. Identification of GaN Buffer Traps in Microwave Power AlGaN/GaN HEMTs Through Low Frequency S-Parameters Measurements and TCAD-Based Physical Device Simulations. IEEE J. Electron Devices Soc. 2017, 5, 175–181. [Google Scholar] [CrossRef]
  27. Grady, R.; Bayram, C. Simulation of Zincblende AlGaN/GaN High Electron Mobility Transistors for Normally-off Operation. J. Phys. D Appl. Phys. 2017, 50, 265104. [Google Scholar] [CrossRef] [Green Version]
  28. Hezabra, A.; Abdeslam, N.A.; Sengouga, N.; Yagoub, M.C.E. 2D Study of AlGaN/AlN/GaN/AlGaN HEMTs’ Response to Traps. J. Semicond. 2019, 40, 22802. [Google Scholar] [CrossRef]
  29. Chander, S.; Singh, P.; Gupta, S.; Rawal, D.S.; Gupta, M. Self Heating Effects in GaN High Electron Mobility Transistor for Different Passivation Material. Def. Sci. J. 2020, 70, 511–514. [Google Scholar] [CrossRef]
  30. Wang, Z.X.; Du, L.; Liu, J.W.; Wang, Y.; Jiang, Y.; Ji, S.W.; Dong, S.W.; Chen, W.W.; Tan, X.H.; Li, J.L.; et al. Breakdown Voltage Enhancement in GaN Channel and AlGaN Channel HEMTs Using Large Gate Metal Height. Chin. Phys. B 2020, 29, 027301. [Google Scholar] [CrossRef]
  31. Razzak, T.; Hwang, S.; Coleman, A.; Xue, H.; Sohel, S.H.; Bajaj, S.; Zhang, Y.; Lu, W.; Khan, A.; Rajan, S. Design of Compositionally Graded Contact Layers for MOCVD Grown High Al-Content AlGaN Transistors. Appl. Phys. Lett. 2019, 115, 043502. [Google Scholar] [CrossRef]
  32. Kaushik, P.K.; Singh, S.K.; Gupta, A.; Basu, A.; Chang, E.Y. Impact of Surface States and Aluminum Mole Fraction on Surface Potential and 2DEG in AlGaN/GaN HEMTs. Nanoscale Res. Lett. 2021, 16, 159. [Google Scholar] [CrossRef] [PubMed]
  33. Vitusevich, S.A.; Danylyuk, S.V.; Klein, N.; Petrychuk, M.V.; Belyaev, A.E.; Vertiatchikh, A.; Eastman, L.F. Low Frequency Noise Parameters in an AlGaN/GaN Heterostructure with 33% and 75% Al Mole Fraction. Int. J. High Speed Electron. Syst. 2004, 14, 762–768. [Google Scholar] [CrossRef]
  34. Razzak, T.; Rajan, S.; Armstrong, A. Ultra-Wide Bandgap AlxGa1-XN Channel Transistors. Int. J. High Speed Electron. Syst. 2019, 28, 1940009. [Google Scholar] [CrossRef]
  35. Bajaj, S.; Allerman, A.; Armstrong, A.; Razzak, T.; Talesara, V.; Sun, W.; Sohel, S.H.; Zhang, Y.; Lu, W.; Arehart, A.R.; et al. High Al-Content AlGaN Transistor with 0.5 A/Mm Current Density and Lateral Breakdown Field Exceeding 3.6 MV/Cm. IEEE Electron. Device Lett. 2018, 39, 256–259. [Google Scholar] [CrossRef]
  36. Xue, H.; Lee, C.H.; Hussian, K.; Razzak, T.; Abdullah, M.; Xia, Z.; Sohel, S.H.; Khan, A.; Rajan, S.; Lu, W. Al0.75Ga0.25N/Al0.6Ga0.4N Heterojunction Field Effect Transistor with FT of 40 GHz. Appl. Phys. Express 2019, 12, 066502. [Google Scholar] [CrossRef]
  37. Elksne, M.; Al-Khalidi, A.; Wasige, E. A Planar Distributed Channel AlGaN/GaN HEMT Technology. IEEE Trans. Electron. Devices 2019, 66, 2454–2458. [Google Scholar] [CrossRef]
  38. Verma, S.; Loan, S.A.; Alamoud, A.M. Design and Simulation of a Doping-Less Charge Plasma Based Enhancement Mode GaN MOSFET. J. Comput. Electron. 2018, 17, 256–264. [Google Scholar] [CrossRef]
  39. Verma, S.; Loan, S.A.; Alharbi, A.G. Polarization Engineered Enhancement Mode GaN HEMT: Design and Investigation. Superlattices Microstruct. 2018, 119, 181–193. [Google Scholar] [CrossRef]
  40. Zhang, Y.; Li, J.; Wang, J. Investigations on Driver and Layout for Paralleled GaN HEMTs in Low Voltage Application. IEEE Access 2019, 7, 179134–179142. [Google Scholar] [CrossRef]
  41. Lu, S.; Burgos, R.; Lu, G.Q. Packaging and High-Temperature Characterization of a 650 V, 150 A EGaN HEMT. Semicond. Sci. Technol. 2021, 36, 034006. [Google Scholar] [CrossRef]
  42. Liang, Y.; Chen, R.; Han, J.; Wang, X.; Chen, Q.; Yang, H. The Study of the Single Event Effect in AlGaN/GaN HEMT Based on a Cascode Structure. Electronics 2021, 10, 440. [Google Scholar] [CrossRef]
  43. Nela, L.; Perera, N.; Erine, C.; Matioli, E. Performance of GaN Power Devices for Cryogenic Applications down to 4.2 K. IEEE Trans. Power Electron. 2021, 36, 7412–7416. [Google Scholar] [CrossRef]
  44. Cha, E.; Wadefalk, N.; Moschetti, G.; Pourkabirian, A.; Stenarson, J.; Grahn, J. InP HEMTs for Sub-MW Cryogenic Low-Noise Amplifiers. IEEE Electron. Device Lett. 2020, 41, 1005–1008. [Google Scholar] [CrossRef]
  45. Shi, Y.; Chen, W.; Sun, R.; Liu, C.; Xin, Y.; Xia, Y.; Wang, F.; Xu, X.; Deng, X.; Chen, T.; et al. Modeling the Influence of the Acceptor-Type Trap on the 2DEG Density for GaN MIS-HEMTs. IEEE Trans. Electron. Devices 2020, 67, 2290–2296. [Google Scholar] [CrossRef]
  46. Cai, Q.; Che, W.; Ma, K.; Xue, Q. A Compact Ku-Band Broadband GaAs Power Amplifier Using an Improved Darlington Power Stage. IEEE Trans. Microw. Theory Tech. 2020, 68, 1. [Google Scholar] [CrossRef]
  47. Chen, K.J.; Haberlen, O.; Lidow, A.; Tsai, C.L.; Ueda, T.; Uemoto, Y.; Wu, Y. GaN-on-Si Power Technology: Devices and Applications. IEEE Trans. Electron. Devices 2017, 64, 779–795. [Google Scholar] [CrossRef]
  48. Jiang, H.; Lyu, Q.; Zhu, R.; Xiang, P.; Cheng, K.; Lau, K.M. 1300 v Normally-OFF p-GaN Gate HEMTs on Si with High ON-State Drain Current. IEEE Trans. Electron. Devices 2021, 68, 653–657. [Google Scholar] [CrossRef]
  49. Tang, X.; Li, B.; Moghadam, H.A.; Tanner, P.; Han, J.; Dimitrijev, S. Mechanism of Threshold Voltage Shift in P-GaN Gate AlGaN/GaN Transistors. IEEE Electron. Device Lett. 2018, 39, 1145–1148. [Google Scholar] [CrossRef]
  50. Zhang, W.; Zhang, J.; Xiao, M.; Zhang, L.; Hao, Y. High Breakdown-Voltage (>2200V) AlGaN-Channel HEMTs with Ohmic/Schottky Hybrid Drains. IEEE J. Electron. Devices Soc. 2018, 6, 931–935. [Google Scholar] [CrossRef]
  51. Saito, W.; Takada, Y.; Kuraguchi, M.; Tsuda, K.; Omura, I. Recessed-Gate Structure Approach toward Normally off High-Voltage AlGaN/GaN HEMT for Power Electronics Applications. IEEE Trans. Electron. Devices 2006, 53, 356–362. [Google Scholar] [CrossRef]
  52. Mizuno, H.; Kishimoto, S.; Maezawa, K.; Mizutani, T. Quasi-Normally-off AlGaN/GaN HEMTs Fabricated by Fluoride-Based Plasma Treatment. Phys. Status Solidi C 2007, 4, 2732–2735. [Google Scholar] [CrossRef]
  53. Cai, Y.; Zhang, Y.; Liang, Y.; Mitrovic, I.Z.; Wen, H.; Liu, W.; Zhao, C. Low ON-State Resistance Normally-OFF AlGaN/GaN MIS-HEMTs with Partially Recessed Gate and ZrO Charge Trapping Layer. IEEE Trans. Electron. Devices 2021, 68, 4310–4316. [Google Scholar] [CrossRef]
  54. Zhu, M.; Ma, J.; Nela, L.; Erine, C.; Matioli, E. High-Voltage Normally-off Recessed Tri-Gate GaN Power MOSFETs with Low on-Resistance. IEEE Electron. Device Lett. 2019, 40, 1289–1292. [Google Scholar] [CrossRef]
  55. Huang, S.; Liu, X.; Wang, X.; Kang, X.; Zhang, J.; Fan, J.; Shi, J.; Wei, K.; Zheng, Y.; Gao, H.; et al. Ultrathin-Barrier AlGaN/GaN Heterostructure: A Recess-Free Technology for Manufacturing High-Performance GaN-on-Si Power Devices. IEEE Trans. Electron. Devices 2018, 65, 207–214. [Google Scholar] [CrossRef]
  56. Kumar, V.; Kuliev, A.; Tanaka, T.; Otoki, Y.; Adesida, I. High Transconductance Enhancement-Mode AlGaN/GaN HEMTs on SiC Substrate. Electron. Lett. 2003, 39, 1758–1760. [Google Scholar] [CrossRef]
  57. Kurt, G.; Gulseren, M.E.; Salkim, G.; Ural, S.; Kayal, O.A.; Ozturk, M.; Butun, B.; Kabak, M.; Ozbay, E. Investigation of a Hybrid Approach for Normally-Off GaN HEMTs Using Fluorine Treatment and Recess Etch Techniques. IEEE J. Electron. Devices Soc. 2019, 7, 351–357. [Google Scholar] [CrossRef]
  58. Ranjan, R.; Kashyap, N.; Raman, A. High-Performance Dual-Gate-Charge-Plasma-AlGaN/GaN MIS-HEMT. Appl. Phys. A Mater. Sci. Process. 2020, 126, 169. [Google Scholar] [CrossRef]
  59. Chen, K.J.; Zhou, C. Enhancement-Mode AlGaN/GaN HEMT and MIS-HEMT Technology. Phys. Status Solidi 2011, 208, 434–438. [Google Scholar] [CrossRef]
  60. Huang, X.; Liu, Z.; Li, Q.; Lee, F.C. Evaluation and Application of 600V GaN HEMT in Cascode Structure. In Proceedings of the 2013 IEEE Applied Power Electronics Conference and Exposition (APEC), Long Beach, CA, USA, 17–21 March 2013. [Google Scholar] [CrossRef]
  61. Hirose, T.; Imai, M.; Joshin, K.; Watanabe, K.; Ogino, T.; Miyazaki, Y.; Shono, K.; Hosoda, T.; Asai, Y. Dynamic Performances of GaN-HEMT on Si in Cascode Configuration. In Proceedings of the 2014 IEEE Applied Power Electronics Conference and Exposition (APEC), Fort Worth, TX, USA, 16–20 March 2014. [Google Scholar] [CrossRef]
  62. Lyu, G.; Wang, Y.; Wei, J.; Zheng, Z.; Sun, J.; Zhang, L.; Chen, K.J. A Normally-off Copackaged SiC-JFET/GaN-HEMT Cascode Device for High-Voltage and High-Frequency Applications. IEEE Trans. Power Electron. 2020, 35, 9671–9681. [Google Scholar] [CrossRef]
  63. Liu, Z.; Huang, X.; Zhang, W.; Lee, F.C.; Li, Q. Evaluation of High-Voltage Cascode GaN HEMT in Different Packages. In Proceedings of the 2014 IEEE Applied Power Electronics Conference and Exposition (APEC), Fort Worth, TX, USA, 16–20 March 2014. [Google Scholar] [CrossRef]
  64. Zhang, Z.; Qin, S.; Fu, K.; Yu, G.; Li, W.; Zhang, X.; Sun, S.; Song, L.; Li, S.; Hao, R.; et al. Fabrication of Normally-off AlGaN/GaN Metal-Insulator-Semiconductor High-Electron-Mobility Transistors by Photo-Electrochemical Gate Recess Etching in Ionic Liquid. Appl. Phys. Express 2016, 9, 084102. [Google Scholar] [CrossRef]
  65. Greco, G.; Fiorenza, P.; Iucolano, F.; Severino, A.; Giannazzo, F.; Roccaforte, F. Conduction Mechanisms at Interface of AlN/SiN Dielectric Stacks with AlGaN/GaN Heterostructures for Normally-off High Electron Mobility Transistors: Correlating Device Behavior with Nanoscale Interfaces Properties. ACS Appl. Mater. Interfaces 2017, 9, 35383–35390. [Google Scholar] [CrossRef] [PubMed]
  66. Fiorenza, P.; Greco, G.; Giannazzo, F.; Iucolano, F.; Roccaforte, F. Effects of Interface States and near Interface Traps on the Threshold Voltage Stability of GaN and SiC Transistors Employing SiO2 as Gate Dielectric. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2016, 35, 01A101. [Google Scholar] [CrossRef]
  67. Fiorenza, P.; Greco, G.; Schiliro, E.; Iucolano, F.; Nigro, R.L.; Roccaforte, F. Determining Oxide Trapped Charges in Al2O3 Insulating Films on Recessed AlGaN/GaN Heterostructures by Gate Capacitance Transients Measurements. Jpn. J. Appl. Phys. 2018, 57, 050307. [Google Scholar] [CrossRef]
  68. Wang, H.; Wang, J.; Liu, J.; Li, M.; He, Y.; Wang, M.; Yu, M.; Wu, W.; Zhou, Y.; Dai, G. Normally-off Fully Recess-Gated GaN Metal-Insulator-Semiconductor Field-Effect Transistor Using Al2O3/Si3N4 Bilayer as Gate Dielectrics. Appl. Phys. Express 2017, 10, 106502. [Google Scholar] [CrossRef]
  69. Tokuda, H.; Asubar, J.T.; Kuzuhara, M. Design Considerations for Normally-off Operation in Schottky Gate p-GaN/AlGaN/GaN HEMTs. Jpn. J. Appl. Phys. 2020, 59, 084002. [Google Scholar] [CrossRef]
  70. Kim, N.; Yu, J.; Zhang, W.; Li, R.; Wang, M.; Ng, W.T. Current Trends in the Development of Normally-OFF GaN-on-Si Power Transistors and Power Modules: A Review. J. Electron. Mater. 2020, 49, 6829–6843. [Google Scholar] [CrossRef]
  71. Wang, H.; Wei, J.; Xie, R.; Liu, C.; Tang, G.; Chen, K.J. Maximizing the Performance of 650-V p-GaN Gate HEMTs: Dynamic RON Characterization and Circuit Design Considerations. IEEE Trans. Power Electron. 2017, 32, 5539–5549. [Google Scholar] [CrossRef]
  72. Meneghini, M.; Hilt, O.; Wuerfl, J.; Meneghesso, G. Technology and Reliability of Normally-off GaN HEMTs with p-Type Gate. Energies 2017, 10, 153. [Google Scholar] [CrossRef]
  73. Lai, Y.C.; Zhong, Y.N.; Tsai, M.Y.; Hsin, Y.M. Gate Capacitance and Off-State Characteristics of E-Mode p-GaN Gate AlGaN/GaN High-Electron-Mobility Transistors After Gate Stress Bias. J. Electron. Mater. 2021, 50, 1162–1166. [Google Scholar] [CrossRef]
  74. Murukesan, K.; Efthymiou, L.; Udrea, F. On the Challenges of Reliable Threshold Voltage Measurement in Ohmic and Schottky Gate P-GaN HEMTs. IEEE J. Electron. Devices Soc. 2021, 9, 831–838. [Google Scholar] [CrossRef]
  75. Rzin, M.; Curutchet, A.; Labat, N.; Malbert, N.; Brunel, L.; Lambert, B. Schottky Gate of AlGaN/GaN HEMTs: Investigation with DC and Low Frequency Noise Measurements after 7000 Hours HTOL Test. In Proceedings of the 2015 IEEE International Conference on Noise and Fluctuations (ICNF), Xi’an, China, 2–6 June 2015. [Google Scholar] [CrossRef]
  76. Chakraborty, S.; Kim, T.W. Comprehensive Schottky Barrier Height Behavior and Reliability Instability with Ni/Au and Pt/Ti/Pt/Au on AlGaN/GaN High-Electron-Mobility Transistors. Micromachines 2022, 13, 84. [Google Scholar] [CrossRef] [PubMed]
  77. Sayadi, L.; Iannaccone, G.; Sicre, S.; Häberlen, O.; Curatola, G. Threshold Voltage Instability in P-GaN Gate AlGaN/GaN HFETs. IEEE Trans. Electron. Devices 2018, 65, 2454–2460. [Google Scholar] [CrossRef]
  78. Borghese, A.; Di Costanzo, A.; Riccio, M.; Maresca, L.; Breglio, G.; Irace, A.; Pinto, O. Gate Current in P-GaN Gate HEMTs as a Channel Temperature Sensitive Parameter: A Comparative Study between Schottky- and Ohmic-Gate GaN HEMTs. Energies 2021, 14, 8055. [Google Scholar] [CrossRef]
  79. Bakeroot, B.; Stockman, A.; Posthuma, N.; Stoffels, S.; Decoutere, S. Analytical Model for the Threshold Voltage of P-(Al)GaN High-Electron-Mobility Transistors. IEEE Trans. Electron. Devices 2018, 65, 79–86. [Google Scholar] [CrossRef]
  80. Kim, K.; Kim, T.J.; Zhang, H.; Liu, D.; Jung, Y.H.; Gong, J.; Ma, Z. AlGaN/GaN Schottky-Gate HEMTs with UV/O-Treated Gate Interface. IEEE Electron. Device Lett. 2020, 41, 1488–1491. [Google Scholar] [CrossRef]
  81. Panda, D.K.; Lenka, T.R. Modeling and Simulation of Enhancement Mode P-GaN Gate AlGaN/GaN HEMT for RF Circuit Switch Applications. J. Semicond. 2017, 38, 64002. [Google Scholar] [CrossRef]
  82. Greco, G.; Iucolano, F.; Di Franco, S.; Bongiorno, C.; Patti, A.; Roccaforte, F. Effects of Annealing Treatments on the Properties of Al/Ti/p-GaN Interfaces for Normally off p-GaN HEMTs. IEEE Trans. Electron. Devices 2016, 63, 2735–2741. [Google Scholar] [CrossRef]
  83. Lukens, G.; Hahn, H.; Kalisch, H.; Vescan, A. Self-Aligned Process for Selectively Etched p-GaN-Gated AlGaN/GaN-on-Si HFETs. IEEE Trans. Electron. Devices 2018, 65, 3732–3738. [Google Scholar] [CrossRef]
  84. Xu, N.; Hao, R.; Chen, F.; Zhang, X.; Zhang, H.; Zhang, P.; Ding, X.; Song, L.; Yu, G.; Cheng, K.; et al. Gate Leakage Mechanisms in Normally off P-GaN/AlGaN/GaN High Electron Mobility Transistors. Appl. Phys. Lett. 2018, 113, 152104. [Google Scholar] [CrossRef]
  85. Chang, Y.C.; Ho, Y.L.; Huang, T.Y.; Huang, D.W.; Wu, C.H. Investigation of Normally-off p-Gan/Algan/Gan Hemts Using a Self-Terminating Etching Technique with Multi-Finger Architecture Modulation for High Power Application. Micromachines 2021, 12, 432. [Google Scholar] [CrossRef]
  86. Liu, C.H.; Chiu, H.C.; Huang, C.R.; Chang, K.J.; Chen, C.T.; Hsueh, K.P. Low Gate Lag Normally-off p-GaN/AlGaN/GaN High Electron Mobility Transistor with Zirconium Gate Metal. Crystals 2020, 10, 25. [Google Scholar] [CrossRef] [Green Version]
  87. Zhou, Y.; Zhong, Y.; Gao, H.; Dai, S.; He, J.; Feng, M.; Zhao, Y.; Sun, Q.; Dingsun, A.; Yang, H. P-GaN Gate Enhancement-Mode HEMT through a High Tolerance Self-Terminated Etching Process. IEEE J. Electron. Devices Soc. 2017, 5, 340–346. [Google Scholar] [CrossRef]
  88. Ťapajna, M.; Hilt, O.; Bahat-Treidel, E.; Würfl, J.; Kuzmik, J. Gate Reliability Investigation in Normally-off p-Type-GaN Cap/AlGaN/GaN HEMTs under Forward Bias Stress. IEEE Electron. Device Lett. 2016, 37, 385–388. [Google Scholar] [CrossRef]
  89. Yu, C.J.; Hsu, C.W.; Wu, M.C.; Hsu, W.C.; Chuang, C.Y.; Liu, J.Z. Improved DC and RF Performance of Novel MIS P-GaN-Gated HEMTs by Gate-All-Around Structure. IEEE Electron. Device Lett. 2020, 41, 673–676. [Google Scholar] [CrossRef]
  90. Wu, T.L.; Marcon, D.; You, S.; Posthuma, N.; Bakeroot, B.; Stoffels, S.; Van Hove, M.; Groeseneken, G.; Decoutere, S. Forward Bias Gate Breakdown Mechanism in Enhancement-Mode p-GaN Gate AlGaN/GaN High-Electron Mobility Transistors. IEEE Electron. Device Lett. 2015, 36, 1001–1003. [Google Scholar] [CrossRef]
  91. Niu, D.; Wang, Q.; Li, W.; Chen, C.; Xu, J.; Jiang, L.; Feng, C.; Xiao, H.; Wang, Q.; Xu, X.; et al. The Influence of the Different Repair Methods on the Electrical Properties of the Normally off P-Gan Hemt. Micromachines 2021, 12, 131. [Google Scholar] [CrossRef]
  92. Chiu, H.C.; Chang, Y.S.; Li, B.H.; Wang, H.C.; Kao, H.L.; Hu, C.W.; Xuan, R. High-Performance Normally off p-GaN Gate HEMT with Composite AlN/Al0.17Ga0.83N/Al0.3Ga0.7N Barrier Layers Design. IEEE J. Electron. Devices Soc. 2018, 6, 201–206. [Google Scholar] [CrossRef]
  93. Zhou, G.; Wan, Z.; Yang, G.; Jiang, Y.; Sokolovskij, R.; Yu, H.; Xia, G. Gate Leakage Suppression and Breakdown Voltage Enhancement in P-GaN HEMTs Using Metal/Graphene Gates. IEEE Trans. Electron. Devices 2020, 67, 875–880. [Google Scholar] [CrossRef] [Green Version]
  94. Zhang, L.; Zheng, Z.; Yang, S.; Song, W.; He, J.; Chen, K.J. P-GaN Gate HEMT with Surface Reinforcement for Enhanced Gate Reliability. IEEE Electron. Device Lett. 2021, 42, 22–25. [Google Scholar] [CrossRef]
  95. Efthymiou, L.; Longobardi, G.; Camuso, G.; Chien, T.; Chen, M.; Udrea, F. On the Physical Operation and Optimization of the P-GaN Gate in Normally-off GaN HEMT Devices. Appl. Phys. Lett. 2017, 110, 123502. [Google Scholar] [CrossRef]
  96. Tsai, W.-S.; Qin, Z.-W.; Hsin, Y. Design of Hybrid Schottky-Ohmic Gate in Normally-Off p-GaN Gate AlGaN/GaN HEMTs. ECS J. Solid State Sci. Technol. 2021, 10, 125003. [Google Scholar] [CrossRef]
  97. Chiu, H.C.; Liu, C.H.; Huang, C.R.; Chiu, C.C.; Wang, H.C.; Kao, H.L.; Lin, S.Y.; Chien, F.T. Normally-off p-Gan Gated Algan/Gan Mis-Hemts with Ald-Grown Al2O3/Aln Composite Gate Insulator. Membranes 2021, 11, 727. [Google Scholar] [CrossRef] [PubMed]
  98. Stockman, A.; Masin, F.; Meneghini, M.; Zanoni, E.; Meneghesso, G.; Bakeroot, B.; Moens, P. Gate Conduction Mechanisms and Lifetime Modeling of P-Gate AlGaN/GaN High-Electron-Mobility Transistors. IEEE Trans. Electron. Devices 2018, 65, 5365–5372. [Google Scholar] [CrossRef]
  99. He, J.; Wei, J.; Yang, S.; Wang, Y.; Zhong, K.; Chen, K.J. Frequency- and Temperature-Dependent Gate Reliability of Schottky-Type p -GaN Gate HEMTs. IEEE Trans. Electron. Devices 2019, 66, 3453–3458. [Google Scholar] [CrossRef]
  100. Stoffels, S.; Posthuma, N.; Decoutere, S.; Bakeroot, B.; Tallarico, A.N.; Sangiorgi, E.; Fiegna, C.; Zheng, J.; Ma, X.; Borga, M.; et al. Perimeter Driven Transport in the P-GaN Gate as a Limiting Factor for Gate Reliability. In Proceedings of the 2019 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 31 March–4 April 2019. [Google Scholar] [CrossRef]
  101. Wu, T.L.; Tang, S.W.; Jiang, H.J. Investigation of Recessed Gate AlGaN/GaN MIS-HEMTs with Double AlGaN Barrier Designs toward an Enhancement-Mode Characteristic. Micromachines 2020, 11, 163. [Google Scholar] [CrossRef] [Green Version]
  102. Sun, Z.; Cheng, W.; Gao, J.; Liang, H.; Huang, H.; Wang, R.; Sun, N.; Tao, P.; Ren, Y.; Song, S.; et al. Improving Performances of Enhancement-Mode AlGaN/GaN MIS-HEMTs on 6-Inch Si Substrate Utilizing SiON/Al2O3 Stack Dielectrics. IEEE Electron. Device Lett. 2020, 41, 135–138. [Google Scholar] [CrossRef]
  103. Xia, F.; Sun, H.; Liu, Z.; Xia, X.; Tan, X.; Ma, J.; Zhang, M.; Guo, Z. Investigation of High Threshold Voltage E-Mode AlGaN/GaN MIS-HEMT with Triple Barrier Layer. Results Phys. 2021, 25, 104189. [Google Scholar] [CrossRef]
  104. Deen, D.A.; Miller, R.A.; Osinsky, A.V.; Downey, B.P.; Storm, D.F.; Meyer, D.J.; Scott Katzer, D.; Nepal, N. Polarization-Mediated Debye-Screening of Surface Potential Fluctuations in Dual-Channel AlN/GaN High Electron Mobility Transistors. J. Appl. Phys. 2016, 120, 235704. [Google Scholar] [CrossRef] [Green Version]
  105. Lee, J.H.; Kim, J.G.; Ju, J.M.; Ahn, W.H.; Kang, S.H.; Lee, J.H. AlInGaN/GaN Double-Channel FinFET with High on-Current and Negligible Current Collapse. Solid. State Electron. 2020, 164, 107678. [Google Scholar] [CrossRef]
  106. Kondo, T.; Akazawa, Y.; Iwata, N. Effects of P-GaN Gate Structures and Fabrication Process on Performances of Normally-off AlGaN/GaN High Electron Mobility Transistors. Jpn. J. Appl. Phys. 2019, 59, SAAD02. [Google Scholar] [CrossRef]
  107. Sato, T.; Toguchi, M.; Komatsu, Y.; Uemura, K. Low-Damage Etching for AlGaN/GaN HEMTs Using Photo-Electrochemical Reactions. IEEE Trans. Semicond. Manuf. 2019, 32, 483–488. [Google Scholar] [CrossRef] [Green Version]
  108. Xia, G.; Cheng, W.C.; He, M.; Lei, S.; Wang, L.; Wu, J.; Zeng, F.; Hu, Q.; Wang, Q.; Zhao, F.; et al. Increasing Threshold Voltage and Reducing Leakage of AlGaN/GaN HEMTs Using Dual-Layer SiNx Stressors. Semicond. Sci. Technol. 2020, 35, 045010. [Google Scholar] [CrossRef]
  109. Ji, K.; Cui, X.; Chen, J.; Guo, Q.; Jiang, B.; Wang, B.; Sun, W.; Hu, W.; Hua, Q. Effect of Backside Dry Etching on the Device Performance of AlGaN/GaN HEMTs. Nanotechnology 2021, 32, 355203. [Google Scholar] [CrossRef] [PubMed]
  110. Taube, A.; Kamiński, M.; Ekielski, M.; Kruszka, R.; Jankowska-Śliwińska, J.; Michałowski, P.P.; Zdunek, J.; Szerling, A. Selective Etching of P-GaN over Al0.25Ga0.75N in Cl2/Ar/O2 ICP Plasma for Fabrication of Normally-off GaN HEMTs. Mater. Sci. Semicond. Process. 2021, 122, 105450. [Google Scholar] [CrossRef]
  111. Zhang, P.; Wang, L.; Zhu, K.; Yang, Y.; Fan, R.; Pan, M.; Xu, S.; Xu, M.; Wang, C.; Wu, C.; et al. High Selectivity, Low Damage ICP Etching of p-GaN over AlGaN for Normally-off p-GaN HEMTs Application. Micromachines 2022, 13, 589. [Google Scholar] [CrossRef]
  112. Osipov, K.; Ostermay, I.; Bodduluri, M.; Brunner, F.; Tränkle, G.; Würfl, J. Local 2DEG Density Control in Heterostructures of Piezoelectric Materials and Its Application in GaN HEMT Fabrication Technology. IEEE Trans. Electron. Devices 2018, 65, 3176–3184. [Google Scholar] [CrossRef]
  113. Cheng, W.C.; Fang, T.; Lei, S.; Zhao, Y.; He, M.; Chan, M.; Xia, G.M.; Zhao, F.; Yu, H. Silicon Nitride Stress Liner Impacts on the Electrical Characteristics of AlGaN/GaN HEMTs. In Proceedings of the 2019 IEEE International Conference on Electron Devices and Solid-State Circuits, Xi’an, China, 12–14 June 2019. [Google Scholar] [CrossRef] [Green Version]
  114. Tallarico, A.N.; Stoffels, S.; Magnone, P.; Posthuma, N.; Sangiorgi, E.; Decoutere, S.; Fiegna, C. Investigation of the P-GaN Gate Breakdown in Forward-Biased GaN-Based Power HEMTs. IEEE Electron. Device Lett. 2017, 38, 99–102. [Google Scholar] [CrossRef]
  115. Yang, F.; Xu, C.; Akin, B. Characterization of Threshold Voltage Instability under Off-State Drain Stress and Its Impact on p-GaN HEMT Performance. IEEE J. Emerg. Sel. Top. Power Electron. 2021, 9, 4026–4035. [Google Scholar] [CrossRef]
  116. Tang, X.; Li, B.; Moghadam, H.A.; Tanner, P.; Han, J.; Li, H.; Dimitrijev, S.; Wang, J. Mechanism of Leakage Current Increase in P-GaN Gate AlGaN/GaN Power Devices Induced by ON-State Gate Bias. Jpn. J. Appl. Phys. 2018, 57, 125003. [Google Scholar] [CrossRef]
  117. Wang, N.; Wang, H.; Lin, X.; Qi, Y.; Duan, T.; Jiang, L.; Iervolino, E.; Cheng, K.; Yu, H. Investigation of AlGaN/GaN HEMTs Degradation with Gate Pulse Stressing at Cryogenic Temperature. AIP Adv. 2017, 7, 095317. [Google Scholar] [CrossRef] [Green Version]
  118. Amano, H.; Baines, Y.; Beam, E.; Borga, M.; Bouchet, T.; Chu, R.; De Santi, C.; De Souza, M.M. The 2018 GaN Power Electronics Roadmap—IOPscience. J. Phys. D Appl. Phys. 2018, 51, 163001. [Google Scholar] [CrossRef]
  119. Wang, A.; Zeng, L.; Wang, W. Three-Dimensional Steady and Transient Fully Coupled Electro-Thermal Simulation of AlGaN/GaN High Electron Mobility Transistors: Effects of Lateral Heat Dissipation and Thermal Crosstalk between Gate Fingers. AIP Adv. 2017, 7, 095304. [Google Scholar] [CrossRef] [Green Version]
  120. Li, J.; Wang, J.; Cai, J.-D.; Xu, Y.-F.; Fan, B.-F.; Wang, G. Numerical Simulation and Analysis of Process Parameters of GaN-MOCVD Reactor. Int. Commun. Heat Mass Transf. 2018, 91, 64–76. [Google Scholar] [CrossRef]
  121. Weituschat, L.M.; Dickmann, W.; Guimbao, J.; Ramos, D.; Kroker, S.; Postigo, P.A. Photonic and Thermal Modelling of Microrings in Silicon, Diamond and GaN for Temperature Sensing. Nanomaterials 2020, 10, 934. [Google Scholar] [CrossRef]
  122. Hosseinzadeh Sani, M.; Khosroabadi, S. Improving Thermal Effects and Reduction of Self-Heating Phenomenon in AlGaN/GaN/Si Based HEMT. J. Electron. Mater. 2021, 50, 2295–2304. [Google Scholar] [CrossRef]
  123. Amar, A.; Radi, B.; Hami, A.E.; Di Bartolomeo, A. Electrothermal Reliability of the High Electron Mobility Transistor (HEMT). Appl. Sci. 2021, 11, 10720. [Google Scholar] [CrossRef]
  124. Alim, M.A.; Ali, M.M.; Rezazadeh, A.A.; Gaquiere, C. Thermal Response for Intermodulation Distortion Components of GaN HEMT for Low and High Frequency Applications. Microelectron. Eng. 2019, 209, 53–59. [Google Scholar] [CrossRef]
  125. Sodan, V.; Stoffels, S.; Oprins, H.; Decoutere, S.; Altmann, F.; Baelmans, M.; De Wolf, I. Fast and Distributed Thermal Model for Thermal Modeling of GaN Power Devices. IEEE Trans. Compon. Packag. Manuf. Technol. 2018, 8, 1747–1755. [Google Scholar] [CrossRef]
  126. Hao, Q.; Zhao, H.; Xiao, Y.; Kronenfeld, M.B. Electrothermal Studies of GaN-Based High Electron Mobility Transistors with Improved Thermal Designs. Int. J. Heat Mass Transf. 2018, 116, 496–506. [Google Scholar] [CrossRef]
  127. Vallabhaneni, A.K.; Chen, L.; Gupta, M.P.; Kumar, S. Solving Nongray Boltzmann Transport Equation in Gallium Nitride. J. Heat Transf. 2017, 139, 102701. [Google Scholar] [CrossRef]
  128. Yalamarthy, A.S.; So, H.; Muñoz Rojo, M.; Suria, A.J.; Xu, X.; Pop, E.; Senesky, D.G. Tuning Electrical and Thermal Transport in AlGaN/GaN Heterostructures via Buffer Layer Engineering. Adv. Funct. Mater. 2018, 28, 1705823. [Google Scholar] [CrossRef] [Green Version]
  129. Russo, S.; D’Alessandro, V.; Costagliola, M.; Sasso, G.; Rinaldi, N. Analysis of the Thermal Behavior of AlGaN/GaN HEMTs. Mater. Sci. Eng. B Solid-State Mater. Adv. Technol. 2012, 177, 1343–1351. [Google Scholar] [CrossRef]
  130. Liao, Z.; Guo, C.; Meng, J.; Jiang, B.; Gao, L.; Su, Y.; Wang, R.; Feng, S. Thermal Evaluation of GaN-Based HEMTs with Various Layer Sizes and Structural Parameters Using Finite-Element Thermal Simulation. Microelectron. Reliab. 2017, 74, 52–57. [Google Scholar] [CrossRef]
  131. Helou, A.E.; Komarov, P.; Tadjer, M.J.; Anderson, T.J.; Francis, D.A.; Feygelson, T.; Pate, B.B.; Hobart, K.D.; Raad, P.E. High-Resolution Thermoreflectance Imaging Investigation of Self-Heating in AlGaN/GaN HEMTs on Si, SiC, and Diamond Substrates. IEEE Trans. Electron. Devices 2020, 67, 5415–5420. [Google Scholar] [CrossRef]
  132. Belkacemi, K.; Hocine, R. Efficient 3D-TLM Modeling and Simulation for the Thermal Management of Microwave AlGaN/GaN HEMT Used in High Power Amplifiers SSPA. J. Low Power Electron. Appl. 2018, 8, 23. [Google Scholar] [CrossRef]
  133. Lundh, J.S.; Chatterjee, B.; Song, Y.; Baca, A.G.; Kaplar, R.J.; Beechem, T.E.; Allerman, A.A.; Armstrong, A.M.; Klein, B.A.; Bansal, A.; et al. Multidimensional Thermal Analysis of an Ultrawide Bandgap AlGaN Channel High Electron Mobility Transistor. Appl. Phys. Lett. 2019, 115, 153503. [Google Scholar] [CrossRef]
  134. Chatterjee, B.; Dundar, C.; Beechem, T.E.; Heller, E.; Kendig, D.; Kim, H.; Donmezer, N.; Choi, S. Nanoscale Electro-Thermal Interactions in AlGaN/GaN High Electron Mobility Transistors. J. Appl. Phys. 2020, 127, 044502. [Google Scholar] [CrossRef]
  135. He, Y.; Huang, Z.; Zhang, M.; Wu, M.; Mi, M.; Wang, C.; Yang, L.; Zhang, C.; Guo, L.; Ma, X.; et al. Temperature-Dependent Characteristics of AlGaN/GaN Nanowire Channel High Electron Mobility Transistors. Phys. Status Solidi Appl. Mater. Sci. 2019, 216, 1900396. [Google Scholar] [CrossRef]
  136. Tsao, J.Y.; Chowdhury, S.; Hollis, M.A.; Jena, D.; Johnson, N.M.; Jones, K.A.; Kaplar, R.J.; Rajan, S.; Van de Walle, C.G.; Bellotti, E.; et al. Ultrawide-Bandgap Semiconductors: Research Opportunities and Challenges. Adv. Electron. Mater. 2018, 4, 1600501. [Google Scholar] [CrossRef] [Green Version]
  137. Jang, K.-W.; Hwang, I.-T.; Kim, H.-J.; Lee, S.-H.; Lim, J.-W.; Kim, H.-S. Thermal Analysis and Operational Characteristics of an AlGaN/GaN High Electron Mobility Transistor with Copper-Filled Structures: A Simulation Study. Micromachines 2020, 11, 53. [Google Scholar] [CrossRef] [Green Version]
  138. Dong, Y.; Xie, Z.; Chen, D.; Lu, H.; Zhang, R.; Zheng, Y. Effects of Dissipative Substrate on the Performances of Enhancement Mode AlInN/GaN HEMTs. Int. J. Numer. Model. Electron. Netw. Devices Fields 2019, 32, e2482. [Google Scholar] [CrossRef] [Green Version]
  139. Borga, M.; Meneghini, M.; Stoffels, S.; Li, X.; Posthuma, N.; Van Hove, M.; Decoutere, S.; Meneghesso, G.; Zanoni, E. Impact of Substrate Resistivity on the Vertical Leakage, Breakdown, and Trapping in GaN-on-Si E-Mode HEMTs. IEEE Trans. Electron. Devices 2018, 65, 2765–2770. [Google Scholar] [CrossRef]
  140. Arreola-Pina, A.S.; Mimila-Arroyo, J. Thermal Reliability of Deuterated AlGaN/GaN HEMTs. Mater. Sci. Semicond. Process. 2021, 123, 105482. [Google Scholar] [CrossRef]
  141. Xing, W.; Liu, Z.; Qiu, H.; Ranjan, K.; Gao, Y.; Ng, G.I.; Palacios, T. InAlN/GaN HEMTs on Si with High FT of 250 GHz. IEEE Electron. Device Lett. 2018, 39, 75–78. [Google Scholar] [CrossRef]
  142. Wang, Y.; Bai, T.; Li, C.; Tadjer, M.J.; Anderson, T.J.; Hite, J.K.; Mastro, M.A.; Eddy, C.R.; Hobart, K.D.; Feigelson, B.N.; et al. Defect Characterization of Multicycle Rapid Thermal Annealing Processed P-GaN for Vertical Power Devices. ECS J. Solid State Sci. Technol. 2019, 8, P70–P76. [Google Scholar] [CrossRef]
  143. Cooper, J.A.; Morisette, D.T. Performance Limits of Vertical Unipolar Power Devices in GaN and 4H-SiC. IEEE Electron. Device Lett. 2020, 41, 892–895. [Google Scholar] [CrossRef]
  144. Guacci, M.; Bortis, D.; Kolar, J.W. On-State Voltage Measurement of Fast Switching Power Semiconductors. CPSS Trans. Power Electron. Appl. 2018, 3, 163–176. [Google Scholar] [CrossRef]
  145. Zulauf, G.; Park, S.; Liang, W.; Surakitbovorn, K.N.; Rivas-Davila, J. COSS Losses in 600 v GaN Power Semiconductors in Soft-Switched, High- and Very-High-Frequency Power Converters. IEEE Trans. Power Electron. 2018, 33, 10748–10763. [Google Scholar] [CrossRef]
  146. Nigam, A.; Bhat, T.N.; Rajamani, S.; Dolmanan, S.B.; Tripathy, S.; Kumar, M. Effect of Self-Heating on Electrical Characteristics of AlGaN/ GaN HEMT on Si (111) Substrate. AIP Adv. 2017, 7, 085015. [Google Scholar] [CrossRef]
  147. Narang, K.; Bag, R.K.; Singh, V.K.; Pandey, A.; Saini, S.K.; Khan, R.; Arora, A.; Padmavati, M.V.G.; Tyagi, R.; Singh, R. Improvement in Surface Morphology and 2DEG Properties of AlGaN/GaN HEMT. J. Alloys Compd. 2020, 815, 152283. [Google Scholar] [CrossRef]
  148. Huang, Y.C.; Chiu, H.C.; Kao, H.L.; Wang, H.C.; Liu, C.H.; Huang, C.R.; Chen, S.W. High Thermal Dissipation of Normally off P-GaN Gate AlGaN/GaN HEMTs on 6-Inch N-Doped Low-Resistivity SiC Substrate. Micromachines 2021, 12, 509. [Google Scholar] [CrossRef] [PubMed]
  149. Huang, C.-R.; Chiu, H.-C.; Liu, C.-H.; Wang, H.-C.; Kao, H.-L.; Chen, C.-T.; Chang, K.-J. Characteristic Analysis of AlGaN/GaN HEMT with Composited Buffer Layer on High-Heat Dissipation Poly-AlN Substrates. Membranes 2021, 11, 848. [Google Scholar] [CrossRef] [PubMed]
  150. Cheng, Z.; Koh, Y.R.; Mamun, A.; Shi, J.; Bai, T.; Huynh, K.; Yates, L.; Liu, Z.; Li, R.; Lee, E.; et al. Experimental Observation of High Intrinsic Thermal Conductivity of AlN. Phys. Rev. Mater. 2020, 4, 044602. [Google Scholar] [CrossRef]
  151. Chang, S.-J.; Cho, K.-J.; Lee, S.-Y.; Jeong, H.-H.; Lee, J.-H.; Jung, H.-W.; Bae, S.-B.; Choi, I.-G.; Kim, H.-C.; Ahn, H.-K.; et al. Substrate Effects on the Electrical Properties in GaN-Based High Electron Mobility Transistors. Crystals 2021, 11, 1414. [Google Scholar] [CrossRef]
  152. Zhao, M.; Tang, X.; Huo, W.; Han, L.; Deng, Z.; Jiang, Y.; Wang, W.; Chen, H.; Du, C.; Jia, H. Characteristics of AlGaN/GaN High Electron Mobility Transistors on Metallic Substrate. Chin. Phys. B 2020, 29, 048104. [Google Scholar] [CrossRef]
  153. Chang, S.-J.; Jung, H.-W.; Do, J.-W.; Cho, K.J.; Kim, J.-J.; Jang, Y.J.; Yoon, H.S.; Ahn, H.-K.; Min, B.-G.; Kim, H.; et al. Enhanced Carrier Transport Properties in GaN-Based Metal-Insulator-Semiconductor High Electron Mobility Transistor with SiN/Al2O3 Bi-Layer Passivation. ECS J. Solid State Sci. Technol. 2018, 7, N86–N90. [Google Scholar] [CrossRef]
  154. Chernykh, M.Y.; Andreev, A.A.; Ezubchenko, I.S.; Chernykh, I.A.; Mayboroda, I.O.; Kolobkova, E.M.; Khrapovitskaya, Y.V.; Grishchenko, J.V.; Perminov, P.A.; Sedov, V.S.; et al. GaN-Based Heterostructures with CVD Diamond Heat Sinks: A New Fabrication Approach towards Efficient Electronic Devices. Appl. Mater. Today 2022, 26, 101338. [Google Scholar] [CrossRef]
  155. Liu, T.; Kong, Y.; Wu, L.; Guo, H.; Zhou, J.; Kong, C.; Chen, T. 3-Inch GaN-on-Diamond HEMTs with Device-First Transfer Technology. IEEE Electron. Device Lett. 2017, 38, 1417–1420. [Google Scholar] [CrossRef]
  156. Gerrer, T.; Czap, H.; Maier, T.; Benkhelifa, F.; Müller, S.; Nebel, C.E.; Waltereit, P.; Quay, R.; Cimalla, V. 3 GHz RF Measurements of AlGaN/GaN Transistors Transferred from Silicon Substrates onto Single Crystalline Diamond. AIP Adv. 2019, 9, 125106. [Google Scholar] [CrossRef] [Green Version]
  157. Guo, H.; Kong, Y.; Chen, T. Thermal Simulation of High Power GaN-on-Diamond Substrates for HEMT Applications. Diam. Relat. Mater. 2017, 73, 260–266. [Google Scholar] [CrossRef]
  158. Weng, Y.C.; Lin, Y.C.; Hsu, H.T.; Kao, M.L.; Huang, H.Y.; Ueda, D.; Ha, M.T.H.; Yang, C.Y.; Maa, J.S.; Chang, E.Y.; et al. A Novel GaN:C Millimeter-Wave HEMT with AlGaN Electron-Blocking Layer. Materials 2022, 15, 703. [Google Scholar] [CrossRef] [PubMed]
  159. Wang, H.Y.; Chiu, H.C.; Hsu, W.C.; Liu, C.M.; Chuang, C.Y.; Liu, J.Z.; Huang, Y.L. The Impact of AlxGa1-XN Back Barrier in AlGaN/GaN High Electron Mobility Transistors (HEMTs) on Six-Inch MCZ Si Substrate. Coatings 2020, 10, 570. [Google Scholar] [CrossRef]
  160. Chvála, A.; Marek, J.; Príbytný, P.; Šatka, A.; Stoffels, S.; Posthuma, N.; Decoutere, S.; Donoval, D. Analysis of Multifinger Power HEMTs Supported by Effective 3-D Device Electrothermal Simulation. Microelectron. Reliab. 2017, 78, 148–155. [Google Scholar] [CrossRef]
  161. Anderson, T.J.; Koehler, A.D.; Tadjer, M.J.; Hite, J.K.; Nath, A.; Mahadik, N.A.; Aktas, O.; Odnoblyudov, V.; Basceri, C.; Hobart, K.D.; et al. Electrothermal Evaluation of Thick GaN Epitaxial Layers and AlGaN/GaN High-Electron-Mobility Transistors on Large-Area Engineered Substrates. Appl. Phys. Express 2017, 10, 126501. [Google Scholar] [CrossRef]
  162. Mohanty, S.K.; Chen, Y.Y.; Yeh, P.H.; Horng, R.H. Thermal Management of GaN-on-Si High Electron Mobility Transistor by Copper Filled Micro-Trench Structure. Sci. Rep. 2019, 9, 19691–19699. [Google Scholar] [CrossRef]
  163. Jia, Y.; Xu, Y.; Guo, Y. A Universal Scalable Thermal Resistance Model for Compact Large-Signal Model of AlGaN/GaN HEMTs. IEEE Trans. Microw. Theory Tech. 2018, 66, 4419–4429. [Google Scholar] [CrossRef]
  164. Mitterhuber, L.; Hammer, R.; Dengg, T.; Spitaler, J. Thermal Characterization and Modelling of AlGaN-GaN Multilayer Structures for HEMT Applications. Energies 2020, 13, 2363. [Google Scholar] [CrossRef]
  165. Pribahsnik, F.P.; Nelhiebel, M.; Mataln, M.; Bernardoni, M.; Prechtl, G.; Altmann, F.; Poppitz, D.; Lindemann, A. Exploring the Thermal Limit of GaN Power Devices under Extreme Overload Conditions. Microelectron. Reliab. 2017, 76–77, 304–308. [Google Scholar] [CrossRef]
  166. Shamsir, S.; Garcia, F.; Islam, S.K. Modeling of Enhancement-Mode GaN-GIT for High-Power and High-Temperature Application. IEEE Trans. Electron. Devices 2020, 67, 588–594. [Google Scholar] [CrossRef]
  167. Ranjan, K.; Arulkumaran, S.; Ng, G.I.; Sandupatla, A. Investigation of Self-Heating Effect on DC and RF Performances in AlGaN/GaN HEMTs on CVD-Diamond. IEEE J. Electron. Devices Soc. 2019, 7, 1264–1269. [Google Scholar] [CrossRef]
  168. Hu, H.; Tang, B.; Wan, H.; Sun, H.; Zhou, S.; Dai, J.; Chen, C.; Liu, S.; Guo, L.J. Boosted Ultraviolet Electroluminescence of InGaN/AlGaN Quantum Structures Grown on High-Index Contrast Patterned Sapphire with Silica Array. Nano Energy 2020, 69, 104427. [Google Scholar] [CrossRef]
  169. Ronchi, N.; Bakeroot, B.; You, S.; Hu, J.; Stoffels, S.; Wu, T.L.; De Jaeger, B.; Decoutere, S. Optimization of the Source Field-Plate Design for Low Dynamic RDS-ON Dispersion of AlGaN/GaN MIS-HEMTs. Phys. Status Solidi Appl. Mater. Sci. 2017, 214, 1600601. [Google Scholar] [CrossRef]
  170. Ho, S.Y.; Lee, C.H.; Tzou, A.J.; Kuo, H.C.; Wu, Y.R.; Huang, J.J. Suppression of Current Collapse in Enhancement Mode GaN-Based HEMTs Using an AlGaN/GaN/AlGaN Double Heterostructure. IEEE Trans. Electron. Devices 2017, 64, 1505–1510. [Google Scholar] [CrossRef]
  171. Rawal, D.S.; Amit; Sharma, S.; Kapoor, S.; Laishram, R.; Vinayak, S. Current Collapse Scaling in GaN/AlGaN/SiC High Electron Mobility Transistors. Solid State Electron. Lett. 2019, 1, 30–37. [Google Scholar] [CrossRef]
  172. Zou, H.; Yang, L.-A.; Ma, X.-H.; Hao, Y. The Dual-Suppression of Peak Electric Field in AlGaN/GaN HEMT with Sandwich Structure. Superlattices Microstruct. 2021, 152, 106843. [Google Scholar] [CrossRef]
  173. Wong, J.; Shinohara, K.; Corrion, A.L.; Brown, D.F.; Carlos, Z.; Williams, A.; Tang, Y.; Robinson, J.F.; Khalaf, I.; Fung, H.; et al. Novel Asymmetric Slant Field Plate Technology for High-Speed Low-Dynamic Ron E/D-Mode GaN HEMTs. IEEE Electron. Device Lett. 2017, 38, 95–98. [Google Scholar] [CrossRef]
  174. Murugapandiyan, P.; Hasan, M.T.; Rajya Lakshmi, V.; Wasim, M.; Ajayan, J.; Ramkumar, N.; Nirmal, D. Breakdown Voltage Enhancement of Gate Field Plate Al0.295Ga0.705N/GaN HEMTs. Int. J. Electron. 2021, 108, 1273–1287. [Google Scholar] [CrossRef]
  175. Wang, A.; Zeng, L.; Wang, W. Simulation of Gate Leakage Current of AlGaN/GaN HEMTs: Effects of the Gate Edges and Self-Heating. ECS J. Solid State Sci. Technol. 2017, 6, S3025–S3029. [Google Scholar] [CrossRef]
  176. Zhang, S.; Liu, X.; Wei, K.; Huang, S.; Chen, X.; Zhang, Y.; Zheng, Y.; Liu, G.; Yuan, T.; Wang, X.; et al. Suppression of Gate Leakage Current in Ka-Band AlGaN/GaN HEMT with 5-Nm SiN Gate Dielectric Grown by Plasma-Enhanced ALD. IEEE Trans. Electron. Devices 2021, 68, 49–52. [Google Scholar] [CrossRef]
  177. Rawal, A.D.S.; Sharma, S.; Kapoor, S.; Liashram, R.; Chaubey, R.K.; Vinayak, S.; Sharma, R.K. Design and Fabrication of Multi-Finger Field Plate for Enhancement of AlGaN/GaN HEMT Breakdown Voltage. Def. Sci. J. 2018, 68, 290–294. [Google Scholar] [CrossRef]
  178. Tsurumaki, R.; Noda, N.; Horio, K. Similarities of Lag Phenomena and Current Collapse in Field-Plate AlGaN/GaN HEMTs with Different Types of Buffer Layers. Microelectron. Reliab. 2017, 73, 36–41. [Google Scholar] [CrossRef]
  179. Augustine Fletcher, A.S.; Nirmal, D.; Ajayan, J.; Arivazhagan, L. Analysis of AlGaN/GaN HEMT Using Discrete Field Plate Technique for High Power and High Frequency Applications. AEU-Int. J. Electron. Commun. 2019, 99, 325–330. [Google Scholar] [CrossRef]
  180. Zhang, C.; Guo, H.; Chen, Z.; Yue, W.; Li, Y.; Zhang, L.; Liu, S.; Sun, W. Super Field Plate Technique That Can Provide Charge Balance Effect for Lateral Power Devices without Occupying Drift Region. IEEE Trans. Electron. Devices 2020, 67, 2218–2222. [Google Scholar] [CrossRef]
  181. Chen, Y.; Xu, Y.; Wang, F.; Wang, C.; Zhang, Y.; Yan, B.; Xu, R. Improved Quasi-Physical Zone Division Model with Analytical Electrothermal Ids Model for AlGaN/GaN Heterojunction High Electron Mobility Transistors. Int. J. Numer. Model. Electron. Netw. Devices Fields 2020, 33, e2630. [Google Scholar] [CrossRef]
  182. Kabemura, T.; Ueda, S.; Kawada, Y.; Horio, K. Enhancement of Breakdown Voltage in AlGaN/GaN HEMTs: Field Plate Plus High-k Passivation Layer and High Acceptor Density in Buffer Layer. IEEE Trans. Electron. Devices 2018, 65, 3848–3854. [Google Scholar] [CrossRef]
  183. Liao, B.; Zhou, Q.; Qin, J.; Wang, H. Simulation of AlGaN/GaN HEMTs’ Breakdown Voltage Enhancement Using Gate Field-Plate, Source Field-Plate and Drain Field Plate. Electronics 2019, 8, 406. [Google Scholar] [CrossRef] [Green Version]
  184. Nirmal, D.; Arivazhagan, L.; Fletcher, A.S.A.; Ajayan, J.; Prajoon, P. Current Collapse Modeling in AlGaN/GaN HEMT Using Small Signal Equivalent Circuit for High Power Application. Superlattices Microstruct. 2018, 113, 810–820. [Google Scholar] [CrossRef]
  185. Wu, W.H.; Lin, Y.C.; Chin, P.C.; Hsu, C.C.; Lee, J.H.; Liu, S.C.; Maa, J.S.; Iwai, H.; Chang, E.Y.; Hsu, H.T. Reliability Improvement in GaN HEMT Power Device Using a Field Plate Approach. Solid State Electron. 2017, 133, 64–69. [Google Scholar] [CrossRef]
  186. Chugh, N.; Kumar, M.; Haldar, S.; Bhattacharya, M.; Gupta, R.S. Applicability of Field Plate in Double Channel GaN HEMT for Radio-Frequency and Power-Electronic Applications. Silicon 2021, 14, 1029–1038. [Google Scholar] [CrossRef]
  187. Iwamoto, T.; Akiyama, S.; Horio, K. Passivation-Layer Thickness and Field-Plate Optimization to Obtain High Breakdown Voltage in AlGaN/GaN HEMTs with Short Gate-to-Drain Distance. Microelectron. Reliab. 2021, 121, 114153. [Google Scholar] [CrossRef]
  188. Kwak, H.T.; Chang, S.B.; Kim, H.J.; Jang, K.W.; Yoon, H.S.; Lee, S.H.; Lim, J.W.; Kim, H.S. Operational Improvement of AlGaN/GaN High Electron Mobility Transistor by an Inner Field-Plate Structure. Appl. Sci. 2018, 8, 974. [Google Scholar] [CrossRef] [Green Version]
  189. Bhat, A.M.; Shafi, N.; Sahu, C.; Periasamy, C. Analysis of AlGaN/GaN HEMT and Its Operational Improvement Using a Grated Gate Field Plate. J. Electron. Mater. 2021, 50, 6218–6227. [Google Scholar] [CrossRef]
  190. Augustine Fletcher, A.S.; Nirmal, D.; Arivazhagan, L.; Ajayan, J.; Varghese, A. Enhancement of Johnson Figure of Merit in III-V HEMT Combined with Discrete Field Plate and AlGaN Blocking Layer. Int. J. RF Microw. Comput. Eng. 2020, 30, e22040. [Google Scholar] [CrossRef]
  191. Fletcher, A.S.A.; Nirmal, D.; Ajayan, J.; Arivazhagan, L. An Intensive Study on Assorted Substrates Suitable for High JFOM AlGaN/GaN HEMT. Silicon 2021, 13, 1591–1598. [Google Scholar] [CrossRef]
  192. Zhang, S.; Wei, K.; Ma, X.; Zhang, Y.C.; Lei, T. Millimeter-Wave AlGaN/GaN HEMT Breakdown Voltage Enhancement by a Recessed Float Field Plate. Appl. Phys. Express 2019, 12, 054007. [Google Scholar] [CrossRef]
  193. Neha; Kumari, V.; Gupta, M.; Saxena, M. Temperature Based Analysis of 3-Step Field Plate AlGaN/GaN HEMT Using Numerical Simulation. Adv. Nat. Sci. Nanosci. Nanotechnol. 2019, 10, 045006. [Google Scholar] [CrossRef]
  194. Neha; Kumari, V.; Gupta, M.; Saxena, M. TCAD-Based Optimization of Field Plate Length & Passivation Layer of AlGaN/GaN HEMT for Higher Cut-Off Frequency & Breakdown Voltage. IETE Tech. Rev. 2020, 39, 63–71. [Google Scholar] [CrossRef]
  195. Prasannanjaneyulu, B.; Karmalkar, S. Relative Effectiveness of High-k Passivation and Gate-Connected Field Plate Techniques in Enhancing GaN HEMT Breakdown. Microelectron. Reliab. 2020, 110, 113698. [Google Scholar] [CrossRef]
  196. Hao, R.; Li, W.; Fu, K.; Yu, G.; Song, L.; Yuan, J.; Li, J.; Deng, X.; Zhang, X.; Zhou, Q.; et al. Breakdown Enhancement and Current Collapse Suppression by High-Resistivity GaN Cap Layer in Normally-Off AlGaN/GaN HEMTs. IEEE Electron. Device Lett. 2017, 38, 1567–1570. [Google Scholar] [CrossRef]
  197. Soni, A.; Ajay; Shrivastava, M. Novel Drain-Connected Field Plate GaN HEMT Designs for Improved VBD-RON Tradeoff and RF PA Performance. IEEE Trans. Electron. Devices 2020, 67, 1718–1725. [Google Scholar] [CrossRef]
  198. Xia, X.; Guo, Z.; Sun, H. Study of Normally-Off AlGaN/GaN HEMT with Microfield Plate for Improvement of Breakdown Voltage. Micromachines 2021, 12, 1318. [Google Scholar] [CrossRef] [PubMed]
  199. Dundar, C.; Kara, D.; Donmezer, N. The Effects of Gate-Connected Field Plates on Hotspot Temperatures of AlGaN/GaN HEMTs. IEEE Trans. Electron. Devices 2020, 67, 57–62. [Google Scholar] [CrossRef]
  200. Sun, S.X.; Zhong, Y.H.; Mei, H.Y.; Yao, R.X.; Chen, F.J.; Li, Y.X.; Hu, Y.F. The Effect of High-k Passivation Layer on off-State Breakdown Voltage of Algaas/Ingaas Hemt. J. Ovonic Res. 2021, 17, 137–145. [Google Scholar]
  201. Gao, S.; Zhou, Q.; Liu, X.; Wang, H. Breakdown Enhancement and Current Collapse Suppression in AlGaN/GaN HEMT by NiOX/SiNX and Al2O3/SiNX as Gate Dielectric Layer and Passivation Layer. IEEE Electron. Device Lett. 2019, 40, 1921–1924. [Google Scholar] [CrossRef]
  202. Geng, K.; Chen, D.; Zhou, Q.; Wang, H. AlGaN/GaN MIS-HEMT with PECVD SiN x, SiON, SiO2 as Gate Dielectric and Passivation Layer. Electronics 2018, 7, 416. [Google Scholar] [CrossRef] [Green Version]
  203. Sun, Z.; Huang, H.; Wang, R.; Liu, Y.; Sun, N.; Li, F.; Tao, P.; Ren, Y.; Song, S.; Wang, H.; et al. Effects of SiON/III-Nitride Interface Properties on Device Performances of GaN-Based Power Field-Effect Transistors. J. Phys. D Appl. Phys. 2020, 54, 025109. [Google Scholar] [CrossRef]
  204. Babaya, A.; Saadi, A.; Bri, S. New Investigation of an E-Mode Metal-Insulator-Semiconductor AlInN/AlN/GaN HEMT with an Au-T-Gate. Telkomnika 2021, 19, 531–539. [Google Scholar] [CrossRef]
  205. Seidel, S.; Schmid, A.; Miersch, C.; Schubert, J.; Heitmann, J. AlGaN/GaN MISHEMTs with Epitaxially Grown GdScO3 as High-κ Dielectric. Appl. Phys. Lett. 2021, 118, 052902. [Google Scholar] [CrossRef]
  206. Shi, Y.T.; Lu, H.; Xu, W.Z.; Zeng, C.K.; Ren, F.F.; Ye, J.D.; Zhou, D.; Chen, D.J.; Zhang, R.; Zheng, Y. High-k HfO2-Based AlGaN/GaN MIS-HEMTs with Y2O3 Interfacial Layer for High Gate Controllability and Interface Quality. IEEE J. Electron Devices Soc. 2020, 8, 15–19. [Google Scholar] [CrossRef]
  207. Huang, Z.; Liu, J.; Huang, X.; Yao, J.; Zhang, J.; Guo, Y. Analysis of Interface Properties in AlGaN/GaN MIS-HEMTs with HfO2and SiNxGate Dielectric. In Proceedings of the 2020 IEEE International Conference on Power and Energy Systems (ICPES), Chengdu, China, 25–27 December 2020. [Google Scholar] [CrossRef]
  208. Lee, J.H.; Kim, D.S.; Kim, J.G.; Ahn, W.H.; Bae, Y.; Lee, J.H. Effect of Gate Dielectrics on Characteristics of High-Energy Proton-Irradiated AlGaN/GaN MISHEMTs. Radiat. Phys. Chem. 2021, 184, 109473. [Google Scholar] [CrossRef]
  209. Li, S.; Hu, Q.; Wang, X.; Li, T.; Li, X.; Wu, Y. Improved Interface Properties and Dielectric Breakdown in Recessed AlGaN/GaN MOS-HEMTs Using HfSiOx as Gate Dielectric. IEEE Electron Device Lett. 2019, 40, 295–298. [Google Scholar] [CrossRef]
  210. Zhang, X.; Wei, X.; Zhang, P.; Zhang, H.; Zhang, L.; Deng, X.; Fan, Y.; Yu, G.; Dong, Z.; Fu, H.; et al. Low Threshold Voltage Shift in AlGaN/GaN MIS-HEMTs on Si Substrate Using SiNx/SiON as Composite Gate Dielectric. Electronics 2022, 11, 895. [Google Scholar] [CrossRef]
  211. Hashizume, T.; Nishiguchi, K.; Kaneki, S.; Kuzmik, J.; Yatabe, Z. State of the Art on Gate Insulation and Surface Passivation for GaN-Based Power HEMTs. Mater. Sci. Semicond. Process. 2018, 78, 85–95. [Google Scholar] [CrossRef]
  212. Gupta, A.; Chatterjee, N.; Kumar, P.; Pandey, S. Effect of Surface Passivation on the Electrical Characteristics of Nanoscale AlGaN/GaN HEMT. In Proceedings of the IOP Conference Series: Materials Science and Engineering, Secunderabad, India, 3–4 July 2017. [Google Scholar] [CrossRef]
  213. Cai, Y.; Wang, Y.; Liang, Y.; Zhang, Y.; Liu, W.; Wen, H.; Mitrovic, I.Z.; Zhao, C. Effect of High-k Passivation Layer on High Voltage Properties of GaN Metal-Insulator-Semiconductor Devices. IEEE Access 2020, 8, 95642–95649. [Google Scholar] [CrossRef]
  214. Nakamura, K.; Hanawa, H.; Horio, K. Analysis of Breakdown Voltages in AlGaN/GaN HEMTs with Low-Double Passivation Layers. IEEE Trans. Device Mater. Reliab. 2019, 19, 298–303. [Google Scholar] [CrossRef]
  215. Zhang, S.; Wei, K.; Ma, X.H.; Hou, B.; Liu, G.G.; Zhang, Y.C.; Wang, X.H.; Zheng, Y.K.; Huang, S.; Li, Y.K.; et al. Reduced Reverse Gate Leakage Current for GaN HEMTs with 3 Nm Al/40 Nm SiN Passivation Layer. Appl. Phys. Lett. 2019, 114, 13503. [Google Scholar] [CrossRef]
  216. Murugapandiyan, P.; Nirmal, D.; Tanvir Hasan, M.; Varghese, A.; Ajayan, J.; Augustine Fletcher, A.S.; Ramkumar, N. Influence of AlN Passivation on Thermal Performance of AlGaN/GaN High-Electron Mobility Transistors on Sapphire Substrate: A Simulation Study. Mater. Sci. Eng. B Solid-State Mater. Adv. Technol. 2021, 273, 115449. [Google Scholar] [CrossRef]
  217. Du, J.; Jiang, Z.; Bai, Z.; Pan, P.; Yu, Q. Design and Simulation of High Breakdown Voltage AlGaN/GaN HEMTs with a Charged Passivation Layer for Microwave Power Applications. J. Comput. Electron. 2017, 16, 741–747. [Google Scholar] [CrossRef]
  218. Romero, M.F.; Bosca, A.; Pedros, J.; Martinez, J.; Fandan, R.; Palacios, T.; Calle, F. Impact of 2D-Graphene on SiN Passivated AlGaN/GaN MIS-HEMTs under Mist Exposure. IEEE Electron. Device Lett. 2017, 38, 1441–1444. [Google Scholar] [CrossRef] [Green Version]
  219. Wu, H.; Fu, X.; Wang, Y.; Guo, J.; Shen, J.; Hu, S. Breakdown Voltage Improvement of Enhancement Mode AlGaN/GaN HEMT by a Novel Step-Etched GaN Buffer Structure. Results Phys. 2021, 29, 104768. [Google Scholar] [CrossRef]
  220. Wang, Z.; Zhang, Z.; Wang, S.; Chen, C.; Wang, Z.; Yao, Y. Design and Optimization on a Novelhigh-Performance Ultra-Thin Barrier AlGaN/GaN Power HEMT with Local Charge Compensation Trench. Appl. Sci. 2019, 9, 3054. [Google Scholar] [CrossRef] [Green Version]
  221. Zou, H.; Yang, L.A.; Ma, X.H.; Hao, Y. Effects of Notch Structures on DC and RF Performances of AlGaN/GaN High Electron Mobility Transistors. Chin. Phys. B 2021, 30, 040502. [Google Scholar] [CrossRef]
  222. Toprak, A.; Osmanoǧlu, S.; Oztürk, M.; Yilmaz, D.; Cengiz, O.; Şen, O.; Bütün, B.; Ozcan, Ş.; Ozbay, E. Effect of Gate Structures on the DC and RF Performance of AlGaN/GaN HEMTs. Semicond. Sci. Technol. 2018, 33, 125017. [Google Scholar] [CrossRef] [Green Version]
  223. Ma, J.; Matioli, E. Slanted Tri-Gates for High-Voltage GaN Power Devices. IEEE Electron. Device Lett. 2017, 38, 1305–1308. [Google Scholar] [CrossRef]
  224. Colangeli, S.; Ciccognani, W.; Longhi, P.E.; Pace, L.; Poulain, J.; Leblanc, R.; Limiti, E. Linear Characterization and Modeling of GaN-on-Si HEMT Technologies with 100 Nm and 60 Nm Gate Lengths. Electronics 2021, 10, 134. [Google Scholar] [CrossRef]
  225. Jang, Y.I.; Lee, S.H.; Seo, J.H.; Yoon, Y.J.; Kwon, R.H.; Cho, M.S.; Kim, B.G.; Yoo, G.M.; Lee, J.-H.; Kang, I.M. Design and Analysis of AlGaN/GaN MIS HEMTs with a Dual-Metal-Gate Structure. J. Semicond. Technol. Sci. 2017, 17, 223–229. [Google Scholar] [CrossRef]
  226. Wu, C.H.; Chen, J.Y.; Han, P.C.; Lee, M.W.; Yang, K.S.; Wang, H.C.; Chang, P.C.; Luc, Q.H.; Lin, Y.C.; Dee, C.F.; et al. Normally-Off Tri-Gate GaN MIS-HEMTs with 0.76 MΩ·cm2 Specific On-Resistance for Power Device Applications. IEEE Trans. Electron. Devices 2019, 66, 3441–3446. [Google Scholar] [CrossRef]
  227. Kashem, M.T.B.; Subrina, S. Analytical Modeling of Channel Potential and Threshold Voltage of Triple Material Gate AlGaN/GaN HEMT Including Trapped and Polarization-Induced Charges. Int. J. Numer. Model. Electron. Netw. Devices Fields 2019, 32, e2476. [Google Scholar] [CrossRef] [Green Version]
  228. Cheng, W.C.; Zeng, F.; He, M.; Wang, Q.; Chan, M.; Yu, H. Quasi-Normally-Off AlGaN/GaN HEMTs with SiN Stress Liner and Comb Gate for Power Electronics Applications. IEEE J. Electron. Devices Soc. 2020, 8, 1138–1144. [Google Scholar] [CrossRef]
  229. Kumar, S.; Agrawal, V.K. Effects of Combined Gate and Ohmic Recess on GaN HEMTs. Perspect. Sci. 2016, 8, 156–158. [Google Scholar] [CrossRef] [Green Version]
  230. Zhang, Y.; Sun, M.; Liu, Z.; Piedra, D.; Hu, J.; Gao, X.; Palacios, T. Trench Formation and Corner Rounding in Vertical GaN Power Devices. Appl. Phys. Lett. 2017, 110, 193506. [Google Scholar] [CrossRef]
  231. Yang, J.X.; Lin, D.J.; Wu, Y.R.; Huang, J.J. Deep Source Metal Trenches in GaN-On-Si HEMTs for Relieving Current Collapse. IEEE J. Electron. Devices Soc. 2021, 9, 557–563. [Google Scholar] [CrossRef]
  232. Duffy, S.J.; Benbakhti, B.; Mattalah, M.; Zhang, W.; Bouchilaoun, M.; Boucherta, M.; Kalna, K.; Bourzgui, N.; Maher, H.; Soltani, A. Low Source/Drain Contact Resistance for AlGaN/GaN HEMTs with High Al Concentration and Si-HP [111] Substrate. ECS J. Solid State Sci. Technol. 2017, 6, S3040–S3043. [Google Scholar] [CrossRef] [Green Version]
  233. Liu, T.; Jiang, C.; Huang, X.; Du, C.; Zhao, Z.; Jing, L.; Li, X.; Han, S.; Sun, J.; Pu, X.; et al. Electrical Transportation and Piezotronic-Effect Modulation in AlGaN/GaN MOS HEMTs and Unpassivated HEMTs. Nano Energy 2017, 39, 53–59. [Google Scholar] [CrossRef]
  234. Baca, A.G.; Armstrong, A.M.; Klein, B.A.; Allerman, A.A.; Douglas, E.A.; Kaplar, R.J. Al-Rich AlGaN Based Transistors. J. Vac. Sci. Technol. A 2020, 38, 020803. [Google Scholar] [CrossRef] [Green Version]
  235. Jeon, D.Y.; Kim, D.K.; Park, S.J.; Koh, Y.; Cho, C.Y.; Kim, G.T.; Park, K.H. Effects of Series Resistance and Interface Properties on the Operation of AlGaN/GaN High Electron Mobility Transistors. Microelectron. Eng. 2018, 199, 40–44. [Google Scholar] [CrossRef]
  236. Mahajan, S.S.; Dhaul, A.; Laishram, R.; Kapoor, S.; Vinayak, S.; Sehgal, B.K. Micro-Structural Evaluation of Ti/Al/Ni/Au Ohmic Contacts with Different Ti/Al Thicknesses in AlGaN/GaN HEMTs. Mater. Sci. Eng. B 2014, 183, 47–53. [Google Scholar] [CrossRef]
  237. Hou, M.; Xie, G.; Sheng, K. Mechanism of Ti/Al/Ni/Au Ohmic Contacts to AlGaN/GaN Heterostructures via Laser Annealing. Chin. Phys. B 2019, 28, 037302. [Google Scholar] [CrossRef]
  238. Hwang, Y.-H.; Ahn, S.; Dong, C.; Zhu, W.; Kim, B.-J.; Le, L.; Ren, F.; Lind, A.G.; Dahl, J.; Jones, K.S.; et al. Degradation Mechanisms of Ti/Al/Ni/Au-Based Ohmic Contacts on AlGaN/GaN HEMTs. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2015, 33, 031212. [Google Scholar] [CrossRef]
  239. Zhang, J.; Huang, S.; Bao, Q.; Wang, X.; Wei, K.; Zheng, Y.; Li, Y.; Zhao, C.; Liu, X.; Zhou, Q.; et al. Mechanism of Ti/Al/Ti/W Au-Free Ohmic Contacts to AlGaN/GaN Heterostructures via Pre-Ohmic Recess Etching and Low Temperature Annealing. Appl. Phys. Lett. 2015, 107, 262109. [Google Scholar] [CrossRef]
  240. Li, Q.; Zhou, Q.; Gao, S.; Liu, X.; Wang, H. Ti/Al/Ti/TiW Au-Free Low Temperature Ohmic Contacts for Un-Doped AlGaN/GaN HEMTs. Solid State Electron. 2018, 147, 1–5. [Google Scholar] [CrossRef]
  241. Gao, S.; Liu, X.; Chen, J.; Xie, Z.; Zhou, Q.; Wang, H. High Breakdown-Voltage GaN-Based HEMTs on Silicon with Ti/Al/Ni/Ti Ohmic Contacts. IEEE Electron. Device Lett. 2021, 42, 481–484. [Google Scholar] [CrossRef]
  242. Constant, A.; Claeys, E.; Baele, J.; Coppens, P.; De Pestel, F. The Role of AlGaN/GaN Heterostructure Properties in Barrier Height Variation of Au-Free Ohmic Contacts. Mater. Sci. Semicond. Process. 2021, 129, 105806. [Google Scholar] [CrossRef]
  243. Yan, W.; Zhang, R.; Du, Y.; Han, W.; Yang, F. Analysis of the Ohmic Contacts of Ti/Al/Ni/Au to AlGaN/GaN HEMTs by the Multi-Step Annealing Process. J. Semicond. 2012, 33, 06400. [Google Scholar] [CrossRef]
  244. Zhu, Y.; Li, J.; Li, Q.; Song, X.; Tan, Z.; Li, J. Effects of Multi-Layer Ti/Al Electrode and Ohmic Groove Etching on Ohmic Characteristics of AlGaN/GaN HEMT Devices. AIP Adv. 2021, 11, 115202. [Google Scholar] [CrossRef]
  245. Chen, D.; Wan, L.; Li, J.; Liu, Z.; Li, G. Ohmic Contact to AlGaN/GaN HEMT with Electrodes in Contact with Heterostructure Interface. Solid State Electron. 2019, 151, 60–64. [Google Scholar] [CrossRef]
Figure 1. Schematic of a conventional AlGaN/GaN HEMT with an undoped AlGaN/GaN barrier layer, a substrate, a passivation layer, and metal contacts. The AlGaN layer aids in the polarization of the GaN region, which causes an oversupply of free dynamic electrons in the GaN layer. Reprinted with permission from ref. [42]. Copyright 2021 MDPI.
Figure 1. Schematic of a conventional AlGaN/GaN HEMT with an undoped AlGaN/GaN barrier layer, a substrate, a passivation layer, and metal contacts. The AlGaN layer aids in the polarization of the GaN region, which causes an oversupply of free dynamic electrons in the GaN layer. Reprinted with permission from ref. [42]. Copyright 2021 MDPI.
Micromachines 13 02133 g001
Figure 2. (a) A normally-off GaN transistor with a p-type doped GaN beneath the gate and (b) an energy band diagram showing the comparison between an AlGaN buffer, a p-type (compensation doped) GaN buffer, and an unintentionally doped GaN buffer. The channel region’s conduction band is displaced above the Fermi level. Reproduced with permission from ref. [72]. Copyright 2017 MDPI.
Figure 2. (a) A normally-off GaN transistor with a p-type doped GaN beneath the gate and (b) an energy band diagram showing the comparison between an AlGaN buffer, a p-type (compensation doped) GaN buffer, and an unintentionally doped GaN buffer. The channel region’s conduction band is displaced above the Fermi level. Reproduced with permission from ref. [72]. Copyright 2017 MDPI.
Micromachines 13 02133 g002
Figure 3. Electric field simulation in an off-state GaN HEMT with a drain bias of 300 V. Reprinted with permission from ref. [72]. Copyright 2017 MDPI.
Figure 3. Electric field simulation in an off-state GaN HEMT with a drain bias of 300 V. Reprinted with permission from ref. [72]. Copyright 2017 MDPI.
Micromachines 13 02133 g003
Figure 4. An ideal depiction of the cross-section of the gate heterostructure in p-GaN Gate HEMT. Reprinted with permission from ref. [78]. Copyright 2021 MDPI.
Figure 4. An ideal depiction of the cross-section of the gate heterostructure in p-GaN Gate HEMT. Reprinted with permission from ref. [78]. Copyright 2021 MDPI.
Micromachines 13 02133 g004
Figure 5. (a) Schematic device structure with LGS/LG/LGD/WG = 2/4/10/100 µm and (b) transmission electron microscopy (TEM) image of the device. Reprinted with permission from ref. [97]. Copyright 2021 MDPI.
Figure 5. (a) Schematic device structure with LGS/LG/LGD/WG = 2/4/10/100 µm and (b) transmission electron microscopy (TEM) image of the device. Reprinted with permission from ref. [97]. Copyright 2021 MDPI.
Micromachines 13 02133 g005
Figure 6. Schematic of an enhancement-mode HEMT with triple AlGaN barrier. Reprinted with permission from ref. [103]. Copyright 2021 Elsevier.
Figure 6. Schematic of an enhancement-mode HEMT with triple AlGaN barrier. Reprinted with permission from ref. [103]. Copyright 2021 Elsevier.
Micromachines 13 02133 g006
Figure 7. Thermal conductivity profiles for GaN, SiC, and Cu. At the highest recorded temperature of 1000 K, SiC has the lowest thermal conductivity, followed by GaN and Cu. Reprinted with permission from ref. [137]. Copyright 2020 MDPI.
Figure 7. Thermal conductivity profiles for GaN, SiC, and Cu. At the highest recorded temperature of 1000 K, SiC has the lowest thermal conductivity, followed by GaN and Cu. Reprinted with permission from ref. [137]. Copyright 2020 MDPI.
Micromachines 13 02133 g007
Figure 8. HEMT structure with SiC substrates. The nucleation layer, also known as the thermal boundary resistance (TBR), is used to achieve mesh tuning for the SiC and GaN layers and decrease mechanical stress and flaws in the GaN layer. The upper half of the GaN layer includes the 2DEG, and the AlGaN layer forms a heterojunction with the GaN layer. Reprinted with permission from ref. [123]. Copyright 2021 MDPI.
Figure 8. HEMT structure with SiC substrates. The nucleation layer, also known as the thermal boundary resistance (TBR), is used to achieve mesh tuning for the SiC and GaN layers and decrease mechanical stress and flaws in the GaN layer. The upper half of the GaN layer includes the 2DEG, and the AlGaN layer forms a heterojunction with the GaN layer. Reprinted with permission from ref. [123]. Copyright 2021 MDPI.
Micromachines 13 02133 g008
Figure 9. Temperature distribution in the HEMT grown on a SiC structure. As shown, in the AlGaN layer, the temperature is high near the gate, around the passivation layer, and along the gate. Reproduced with permission from ref. [123]. Copyright 2021 MDPI.
Figure 9. Temperature distribution in the HEMT grown on a SiC structure. As shown, in the AlGaN layer, the temperature is high near the gate, around the passivation layer, and along the gate. Reproduced with permission from ref. [123]. Copyright 2021 MDPI.
Micromachines 13 02133 g009
Figure 10. Structures of (a) AlGaN/GaN HEMT on SiC, (b) Cu-filled thermal trench, and (c) CTV in SiC substrate. The lattice temperature within the 2DEG channel was lowered, thus improving breakdown voltage, saturation current, drain current, and peak transconductance. Reprinted with permission from ref. [137]. Copyright 2020 MDPI.
Figure 10. Structures of (a) AlGaN/GaN HEMT on SiC, (b) Cu-filled thermal trench, and (c) CTV in SiC substrate. The lattice temperature within the 2DEG channel was lowered, thus improving breakdown voltage, saturation current, drain current, and peak transconductance. Reprinted with permission from ref. [137]. Copyright 2020 MDPI.
Micromachines 13 02133 g010
Figure 11. Validation of temperature rise in a device structure with Raman thermometry: (a) peak frequency of GaN and Si E2, (b) dependence on temperature of the active E2 mode in GaN, (c) peak E2 shift of GaN without micro-trench fabrication, and (d) peak E2 shift using a Cu-filled micro-trench, which is lower than without the micro-trench. Based on the channel temperature at various drain-source biases (Vds) in the Cu-deposited trench structure, the shift of the E2 (high) peak is reduced, suggesting effective heat removal. Reprinted under terms of the CC-BY license [162]. Copyright 2019, Mohanty et al., published by Springer Nature.
Figure 11. Validation of temperature rise in a device structure with Raman thermometry: (a) peak frequency of GaN and Si E2, (b) dependence on temperature of the active E2 mode in GaN, (c) peak E2 shift of GaN without micro-trench fabrication, and (d) peak E2 shift using a Cu-filled micro-trench, which is lower than without the micro-trench. Based on the channel temperature at various drain-source biases (Vds) in the Cu-deposited trench structure, the shift of the E2 (high) peak is reduced, suggesting effective heat removal. Reprinted under terms of the CC-BY license [162]. Copyright 2019, Mohanty et al., published by Springer Nature.
Micromachines 13 02133 g011
Figure 12. (a) View of AlGaN/GaN HEMT with FP structure and (b) equivalent energy band profile. The architecture allows for breakdown voltage improvement while preventing current collapse. Reprinted with permission from ref. [19]. Copyright 2021 MDPI.
Figure 12. (a) View of AlGaN/GaN HEMT with FP structure and (b) equivalent energy band profile. The architecture allows for breakdown voltage improvement while preventing current collapse. Reprinted with permission from ref. [19]. Copyright 2021 MDPI.
Micromachines 13 02133 g012
Figure 13. (a) Transfer characteristics, (b) gm of AlGaN/GaN HEMT, (c) drain leakage current (Ids) versus Vds at Vgs = −10 V, and (d) Ids–Vds characteristics at Vgs = −1 V, 0 V. Reproduced with permission from ref. [184]. Copyright 2018 Elsevier.
Figure 13. (a) Transfer characteristics, (b) gm of AlGaN/GaN HEMT, (c) drain leakage current (Ids) versus Vds at Vgs = −10 V, and (d) Ids–Vds characteristics at Vgs = −1 V, 0 V. Reproduced with permission from ref. [184]. Copyright 2018 Elsevier.
Micromachines 13 02133 g013
Figure 14. Cross-sectional and top views of an AlGaN/GaN HEMT structure with a discrete FP. Reprinted with permission from ref. [179]. Copyright 2019 Elsevier.
Figure 14. Cross-sectional and top views of an AlGaN/GaN HEMT structure with a discrete FP. Reprinted with permission from ref. [179]. Copyright 2019 Elsevier.
Micromachines 13 02133 g014
Figure 15. HEMT with a micro field plate (micro-FP) structure. Reprinted with permission from ref. [198]. Copyright 2021 MDPI.
Figure 15. HEMT with a micro field plate (micro-FP) structure. Reprinted with permission from ref. [198]. Copyright 2021 MDPI.
Micromachines 13 02133 g015
Figure 16. Band gaps and permittivity relationships for key insulators and GaN-based materials. Reprinted with permission from ref. [211]. Copyright 2018 Elsevier.
Figure 16. Band gaps and permittivity relationships for key insulators and GaN-based materials. Reprinted with permission from ref. [211]. Copyright 2018 Elsevier.
Micromachines 13 02133 g016
Figure 17. Proposed schematics of (a) SGB, (b) TGB, and (c) CGB. Reprinted with permission from ref. [219]. Copyright 2021 Elsevier.
Figure 17. Proposed schematics of (a) SGB, (b) TGB, and (c) CGB. Reprinted with permission from ref. [219]. Copyright 2021 Elsevier.
Micromachines 13 02133 g017
Figure 18. Breakdown voltage (VBR) of SGB, TGB, and CGB for different gate-to-drain distances (LGD), and dependence of VBR and peak drain current on GaN buffer thickness. The developed step-etched GaN structure may alter the electric field distribution, resulting in a greater VBR since the equipotential lines are more uniform than for standard HEMTs. Furthermore, at the ideal angle of the GaN buffer, the electron density at the AlGaN/GaN interface is unaffected, resulting in an output Ids–Vds curve and current drive capacity comparable to conventional HEMTs. Reprinted with permission from ref. [219]. Copyright 2021 Elsevier.
Figure 18. Breakdown voltage (VBR) of SGB, TGB, and CGB for different gate-to-drain distances (LGD), and dependence of VBR and peak drain current on GaN buffer thickness. The developed step-etched GaN structure may alter the electric field distribution, resulting in a greater VBR since the equipotential lines are more uniform than for standard HEMTs. Furthermore, at the ideal angle of the GaN buffer, the electron density at the AlGaN/GaN interface is unaffected, resulting in an output Ids–Vds curve and current drive capacity comparable to conventional HEMTs. Reprinted with permission from ref. [219]. Copyright 2021 Elsevier.
Micromachines 13 02133 g018
Figure 19. Illustration of AlGaN/GaN/HEMT structure with a notch formed between the passivation layer and AlGaN barrier.
Figure 19. Illustration of AlGaN/GaN/HEMT structure with a notch formed between the passivation layer and AlGaN barrier.
Micromachines 13 02133 g019
Figure 20. Illustration of a GaN-based HEMT with dual trench structures formed between the undoped GaN and the nucleation layer.
Figure 20. Illustration of a GaN-based HEMT with dual trench structures formed between the undoped GaN and the nucleation layer.
Micromachines 13 02133 g020
Figure 21. Process flowchart for device etching with an ohmic groove in Ti/Al/Ti/Al/Ti/Al/Ti/Al/Ni/Au. The procedure implemented the inductively coupled plasma (ICP) method to achieve device isolation, followed by rapid annealing at 850 °C for 30 s to form the ohmic contacts and then the final deposition of Ni/Au electrodes. Reproduced under terms of the CC-BY license [244]. Copyright 2021, Zhu et al., published by AIP Publishing.
Figure 21. Process flowchart for device etching with an ohmic groove in Ti/Al/Ti/Al/Ti/Al/Ti/Al/Ni/Au. The procedure implemented the inductively coupled plasma (ICP) method to achieve device isolation, followed by rapid annealing at 850 °C for 30 s to form the ohmic contacts and then the final deposition of Ni/Au electrodes. Reproduced under terms of the CC-BY license [244]. Copyright 2021, Zhu et al., published by AIP Publishing.
Micromachines 13 02133 g021
Table 1. Material parameters of GaN/AlGaN devices.
Table 1. Material parameters of GaN/AlGaN devices.
ParametersUnitAlxGa1-xNGaN
Electron mobility (µn) cm2 V−1 s−1 300.0–985.5 800–1350
Hole mobility (µp) cm2 V−1 s−1 10.0–13.3 10.0–22.0
Energy band gap (Eg) eV 3.87–5.10 3.299–3.550
Conduction band density of state (Nc) 1018 cm−3 2.07–2.75 1.07–2.24
Valance band density of state (Nv) 1019 cm−3 1.16–2.06 1.16–2.51
Electron affinity (χ) eV 3.41–4.01 4.00–4.31
Saturation velocity (Vsat) 107 cm s−1 0.27–1.50 1.90–2.70
Relative permittivity (ɛ) - 8.79–9.55 8.90–9.70
Al mole concentration (x) - 0.26–0.85 -
Table 2. Data for normally-off HEMTs with p-GaN gate, from recent literature.
Table 2. Data for normally-off HEMTs with p-GaN gate, from recent literature.
Metal Gate Structural
Parameters
p-GaN
Thickness (nm)
p-GaN Doping (cm−3)Vth (V)VBR (V) gm,max
(mS mm−1)
Ron
(Ω·mm)
Ids,max
(mA mm−1)
Ref.
Ti/Al/TiLG = 2 μm, LGD = 5 μm, LGS = 2 μm1400-2.8---400[81]
Ti/AlLG = 20 μm, WG = 2π⋅65 μm, LSD = 40 μm503 × 10191.5----[82]
Mo/NiLG = 2.0 μm, LGD = 10 μm, LGS = 1.5 μm803 × 10191.08560150.010.7554[83]
Ti/AuWG = 100 μm, LG = 2 μm, LGD = 15 μm, LGS = 4 μm70-1.2----[84]
Ni/AuWG = 60 mm, LG = 4 μm, LGD = 3 μm, LGS = 3 μm704 × 10192.2--43.6112.5[85]
Zr/AuWG = 100 μm, LG = 3 μm, LGD = 7 μm, LGS = 2 μm80-1.5----[86]
Ti/AuWG = 100 μm, LG = 4 μm, LGD = 6 μm, LGS = 3 μm855 × 10191.1300-10.0355[87]
Ni/AuWG = 0.25 mm, LG = 1.3 μm953 × 10191.5>800--300[88]
Ni/AuWG = 2 × 150 μm, LG = 1 μm, LGD = 3 μm, LGS = 1 μm80-0.5-81.58.2215.9[89]
Ni/AuWG = 10 μm, LG = 0.7 μm, LGD = LGS = 0.75 μm701 × 10201.6>10---[90]
Ni/AuWG = 100 μm, LG = 3 μm, LGD = 10 μm, LGS = 5 μm604 × 10191.6-68.023.0153[91]
Ni/AuLG = 3 μm, LGD = 7 μm, LGS = 2 μm603 × 10192.1218-5.65272[92]
Ti/AuWG = 100 μm, LG = 5 μm, LGD = 10 μm, LGS = 3 μm1004 × 10191.8612.05-12.8-[93]
Ni/AuWG = 2 μm, LG = 4 μm, LGD = 15 μm, LGS = 2 μm1003 × 10191.4740-11.0-[94]
Table 3. Electrical characteristics of multiple-barrier GaN-based HEMTs, based on recent literature. The threshold voltage (Vth) varied greatly depending on the AlGaN barrier layers’ design and recessed-gated depth (H).
Table 3. Electrical characteristics of multiple-barrier GaN-based HEMTs, based on recent literature. The threshold voltage (Vth) varied greatly depending on the AlGaN barrier layers’ design and recessed-gated depth (H).
Barrier DesignsIon/IoffSS (mV dec−1)gm,max 
(mS mm−1)
Ids,max 
(A mm−1)
Vth (V)Ref.
Al0.3Ga0.7N/Al0.2Ga0.8N/GaN
(Remaining bottom of 5 nm Al0.15Ga0.88N)
4.8 × 101087.97.6-0.25[101]
Al0.3Ga0.7N/Al0.2Ga0.8N/GaN
(Remaining bottom of 3 nm Al0.15Ga0.85N)
5.5 × 1010229.371.2-3.25
Al0.3Ga0.7N/Al0.2Ga0.8N/GaN
(Remaining bottom of 5 nm Al0.2Ga0.8N)
1.2 × 101180.7392.9~0
Al0.3Ga0.7N/Al0.2Ga0.8N/GaN
(Remaining bottom of 3 nm Al0.2Ga0.8N)
-153.190-0.5
Al0.25Ga0.7N/Al0.11Ga0.89N/Al0.15Ga0.8N (H = 6 nm)--94.41.060.99[103]
Al0.25Ga0.7N/Al0.11Ga0.89N/Al0.15Ga0.8N (H = 2.06 nm)--61.10.952.06
AlN/GaN/AlN/GaN--2001.2~−4[104]
AlGaN/GaN/AlGaN/GaN
(Fin-shaped structure)
--245~0.50.2[105]
Table 4. Comparison of LRSiC and High-Resistivity SiC (HRSiC) Substrates. Reproduced with permission from ref. [148]. Copyright 2021 MDPI.
Table 4. Comparison of LRSiC and High-Resistivity SiC (HRSiC) Substrates. Reproduced with permission from ref. [148]. Copyright 2021 MDPI.
Reference Price (USD)Resistivity (Ω·cm)
LRSiC (6 in)10000.015~0.025
HRSiC (6 in)3001 × 10−5
Table 5. Output GaN-based HEMT based on different properties of substrates/films. Reproduced with permission from ref. [151]. Copyright 2021 MDPI.
Table 5. Output GaN-based HEMT based on different properties of substrates/films. Reproduced with permission from ref. [151]. Copyright 2021 MDPI.
ParametersID at VG = 0.0 V
(mA mm−1)
gm,max (mS mm−1) Max. µ Max. µ 
(cm2 V−1 s−1)
fT
(GHz)
fmax
(GHz)
at VD = 0 Vat VD = 10.0 V
Sapphire substrate 658 542 220 1109 408 14.8 28.6
AlN substrate 717 705 251 1189 393 16.3 31.1
Cu film 776 795 271 1253 389 16.6 32.6
Table 6. Comparison of output characteristics of GaN-based HEMTs with FPs.
Table 6. Comparison of output characteristics of GaN-based HEMTs with FPs.
LFP (µm)LG (µm)LGD (µm)Passivation LayerCap LayerfT (GHz)Electric Field (MV cm−1)Vth (V)gm,max (mS mm−1)Ids,max
(mA mm−1)
VBR (V)Ref.
0.670.262.00SiN-41.000.710.65780.01060138[173]
1.750.40- SiN
HfO2
-40.00
28.00
-−4.30434.8
434.0
2160
2110
872
912
[174]
0.600.70-SiN------150[177]
0.900.252.70SiNGaN20.0017.00-270.0760330[179]
2.003.0022.00---3.00---2200[183]
1.000.252.70SiNAlN/GaN-2.900.50175.0900291[184]
1.501.505.00Si3N4---−4.0070.0310970[19]
2.002.0015.00SiNGaN--−3.50138.0-365[185]
3.001.008.00SiN-19.004.87--3400376[186]
0.300.301.50SiN--2.70−5.84--400[187]
0.800.50–1.003.55Si3N4-6.70-−2.68358.0~100669[188]
0.200.252.70SiNGaN28.30--350.01000254[189]
0.100.252.70SiNGaN28.001.80-314.0820342[190]
0.500.252.70SiNGaN47.07-−4.30323.01080298[191]
0.750.21.35SiN-62.40-−2.60-1000140[192]
0.300.231.00SiCN------282[193]
0.800.251.00SiN-38.00-−3.3058.7-127[194]
Table 7. Properties of different high-k materials. Reproduced under terms of the CC-BY license [204]. Copyright 2021, Babaya et al., published by Universitas Ahmad Dahlan (UAD).
Table 7. Properties of different high-k materials. Reproduced under terms of the CC-BY license [204]. Copyright 2021, Babaya et al., published by Universitas Ahmad Dahlan (UAD).
Materialsε (F m−1)C (J K−1)K (W m−1 k−1)Energy Gap (eV)Ec (eV)Ev (eV)
SiO23.93.0660.01493.54.4
SiN7.50.5850.185---
Al2O39.33.140.298.834.7
Hf0222--5.81.41.3
TaO526-----
TiO280--3.51.11.3
Table 8. Main features of two commercial GaN-on-Si HEMT technologies with different gate lengths. Reproduced with permission from ref. [224]. Copyright 2021 MDPI.
Table 8. Main features of two commercial GaN-on-Si HEMT technologies with different gate lengths. Reproduced with permission from ref. [224]. Copyright 2021 MDPI.
ParameterGaN Processes
D01GHD006GH
Gate length100 nm60 nm
Cut-off frequency110 GHz150 GHz
Maximum oscillation frequency180 GHz190 GHz
Gate–drain breakdown voltage36 V36 V
Maximum drain current density1200 mA mm−11200 mA mm−1
Maximum extrinsic transconductance800 mS mm−1950 mS mm−1
Minimum noise figure at 40 GHz1.5 dB1.1 dB
RF power density4 W mm−13.3 W mm−1
Table 9. Summary of data based on six samples annealed at 850 °C. Reproduced under terms of the CC-BY license [244]. Copyright 2021, Zhu et al., published by AIP Publishing.
Table 9. Summary of data based on six samples annealed at 850 °C. Reproduced under terms of the CC-BY license [244]. Copyright 2021, Zhu et al., published by AIP Publishing.
SamplesABCDEF
Metal stackTi/Al/Ni/AuTi/Al/Ni/AuTi/Al/Ni/AuTi/Al/Ti/Al/
Ti/Al//Ni/Au
Ti/Al/Ti/Al/
Ti/Al//Ni/Au
Ti/Al/Ti/Al/
Ti/Al//Ni/Au
Etching depth (nm)0102001020
Annealing temperature (°C)850850850850850850
Annealing time (s)303030303030
RC (Ω mm)1.67481.15971.15351.65540.91011.0108
ρc (Ω cm2) × 10−57.96773.55203.64136.31742.24712.6838
rms (nm)10555.781.975.842.552.2
Ra (nm)87.365.468.463.333.342.6
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Haziq, M.; Falina, S.; Manaf, A.A.; Kawarada, H.; Syamsul, M. Challenges and Opportunities for High-Power and High-Frequency AlGaN/GaN High-Electron-Mobility Transistor (HEMT) Applications: A Review. Micromachines 2022, 13, 2133. https://doi.org/10.3390/mi13122133

AMA Style

Haziq M, Falina S, Manaf AA, Kawarada H, Syamsul M. Challenges and Opportunities for High-Power and High-Frequency AlGaN/GaN High-Electron-Mobility Transistor (HEMT) Applications: A Review. Micromachines. 2022; 13(12):2133. https://doi.org/10.3390/mi13122133

Chicago/Turabian Style

Haziq, Muhaimin, Shaili Falina, Asrulnizam Abd Manaf, Hiroshi Kawarada, and Mohd Syamsul. 2022. "Challenges and Opportunities for High-Power and High-Frequency AlGaN/GaN High-Electron-Mobility Transistor (HEMT) Applications: A Review" Micromachines 13, no. 12: 2133. https://doi.org/10.3390/mi13122133

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop