Next Article in Journal
Simulation of Picking Up Metal Microcomponents Based on Electrochemistry
Next Article in Special Issue
Role of a 193 nm ArF Excimer Laser in Laser-Assisted Plasma-Enhanced Chemical Vapor Deposition of SiNx for Low Temperature Thin Film Encapsulation
Previous Article in Journal
3D Printed Wavy Scaffolds Enhance Mesenchymal Stem Cell Osteogenesis
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Process Optimization of Via Plug Multilevel Interconnections in CMOS Logic Devices

1
School of Integrative Engineering, Chung-Ang University, Seoul 06974, Korea
2
Process Development Center, Magnachip Semiconductor, Seoul 15213, Korea
*
Author to whom correspondence should be addressed.
These two authors contributed equally to this work.
Micromachines 2020, 11(1), 32; https://doi.org/10.3390/mi11010032
Submission received: 29 November 2019 / Revised: 17 December 2019 / Accepted: 24 December 2019 / Published: 25 December 2019
(This article belongs to the Special Issue Selected Papers from the ICAE 2019)

Abstract

:
This paper reports on the optimization of the device and wiring in a via structure applied to multilevel metallization (MLM) used in CMOS logic devices. A MLM via can be applied to the Tungsten (W) plug process of the logic device by following the most optimized barrier deposition scheme of RF etching 200 Å IMP Ti (ion metal plasma titanium) 200 Å CVD TiN (titanium nitride deposited by chemical vapor deposition) 2 × 50 Å. The resistivities of the glue layer and barrier, i.e., IMP Ti and CVD TiN, were 73 and 280 μΩ·cm, respectively, and the bottom coverages were 57% and 80%, respectively, at a 3.2:1 aspect ratio (A/R). The specific resistance of the tungsten film was approximately 11.5 μΩ·cm, and it was confirmed that the via filling could be performed smoothly. RF etching and IMP Ti should be at least 200 Å each, and CVD TiN can be performed satisfactorily with the existing 2 × 50 Å process. Tungsten deposition showed no difference in the via resistance with deposition temperature and SiH4 reduction time. When the barrier scheme of RF etching 200 Å IMP Ti 200 ÅCVD TiN 2 × 50 Å was applied, the via resistance was less than 20 Ω, even with a side misalignment of 0.05 μm and line-end misalignment of ~0.1 μm.

1. Introduction

With increased integration of logic devices, the number of metal wiring layers in multilevel metallization (MLM) has increased from 5 layers to 6–12 layers, and the wiring width has become low [1,2]. In addition, the hole sizes of vias used to connect the wiring layers have decreased, whereas the thickness of the inter-metal dielectric (IMD) [3], which determines the height of the vias, has hardly changed, resulting in a sharp increase in the aspect ratio (A/R; via height/via hole size) [4,5]. In this situation, the via has reached its filling limit with the conventional Al reflow or 2-step Al deposition process [6,7]. Alternatively, the plug process using chemical vapor deposition tungsten (CVD W) has been applied after deposition of a barrier film [8]. The need has arisen. In existing logic devices, an MLM structure is formed by a 5-level via plug using a barrier film and CVD W [9,10]. The overall trend of the W plug process is common when Al is used in the metal wiring [11,12]. Therefore, W plugs are also applied to the via used in MLM structures of logic devices, and it is reasonable to apply ionized metal plasma physical vapor deposition (IMP PVD) Ti and titanium nitride deposited by chemical vapor deposition (CVD TiN) structures to logic devices [13,14].
Via filling processes include the W plug fill process, the Al plug fill process, and the Cu damascene fill process. In the W plug fill process, the CVD W process is applied in terms of filling [15,16]. It is essential to derive the optimum conditions of the via profile photo/etch integration process, the glue layer Ti, and the barrier metal TiN process to optimize the process. If the Ti/TiN process is not optimized, the Volcano effect [17,18] can occur, causing a fatal defect that can cause the device to fail. In the Al plug fill process, a two-step process of CVD Al/PVD Al is applied, and the selection of precursor, flow fill method, glue layer, and pattern profile applied to CVD Al act as process variables. Currently, the pattern of sub-0.2mm (aspect ratio) 4 vias is a voidless and stable filling process with an integrated CVD Al/PVD AlCu approach process [19,20]. The Cu damascene process is a completely different process integration compared to the W integration process and the Al integration process, and is applied to the integration process of most logic device processes. Filling performance may vary slightly depending on the via patterning method, but there is no big difference in terms of filling characteristics. However, it is decided whether to apply W, Al, or Cu depending on the required device characteristics such as logic and memory. The above three materials can be applied depending on the process integration aspect and the material’s electrical resistance difference and electromigration characteristics. Currently, the Cu wiring process is applied to devices requiring high speed, and the W process is important in the interconnection area where junction contamination and high reliability are required.
Currently, it is common to develop high-speed logic devices using Cu wiring, but various logic devices that do not involve the requirement of high speed provide reasonable opportunities for optimizing the low-cost W/Al wiring process [21,22,23].
Ti deposition does not achieve sufficient bottom coverage by using the existing sputtering method, [24], and presently, the IMP method is the only applicable technique that shows the best characteristics, with the collimated PVD and long-throw PVD methods approaching their limits [25,26,27].
TiN deposition too has the drawback that sufficient bottom coverage cannot be obtained by using the existing sputtering method [28,29]. Only the metal organic CVD (MOCVD) process shows very good bottom-coverage characteristics [30,31]. After confirming the basic physical properties by verification, we examined the applicability of IMP Ti, CVD TiN, and CVD W to logic devices based on via resistance, and we established the optimal process conditions.

2. Experimental Procedure

First, to confirm the applicability of the barrier film and W film to >150-nm logic devices, the physical and electrical characteristics of the via structure using IMP Ti, CVD TiN, and CVD W were examined. In addition, we attempted to find the most optimal process conditions by determining the resistance of the wiring structure in the logic device, based on the confirmed via resistance data. AMAT Endura was used to deposit the barrier film before the W deposition [27,32]. This equipment is composed of RF-etched (pre-clean) [33] IMP Ti, IMP TiN, and CVD TiN chambers, as shown in Figure 1. It provides a continuous process of RF etching–IMP Ti–CVD TiN (or IMP TiN) without air break.
The resistivity of each film is shown in Table 1, and the deposition conditions are shown in Table 2. The resistivity was obtained by depositing 5000 Å of plasma-enhanced tetraethylorthosilicate (PETEOS) on the bare wafer, 200 Å of IMP Ti [34,35], 100 Å of CVD TiN, and 300 Å of IMP TiN [34], and measuring the sheet resistance using an Omnimap [36,37,38,39].
CVD of W was performed using a Concept-1 Novellus instrument. The equipment had five heat stations and shower heads, each of which could be manipulated in a large chamber to control the temperature according to the deposition step. Another feature was the use of a minimum-overlap exclusion ring (MOER) to prevent W from being deposited on the wafer edge. Thus, the possibility of W residue remaining on the wafer edge after the W CMP process was fundamentally excluded. Deposition conditions at this time were SiH4 0.025 slm, H2 6 slm, and WF 6 0.28 slm [34,39,40]. The temperature was 395 °C, and the SiH4 reduction time of the W seed formation step was 12 s [41,42]. The step coverage and filling of the thin films of CVD TiN, IMP TiN, and CVD W were analyzed using SEM and TEM, and the etching profiles were analyzed using SEM after sample treatment using precision etching coating system (PECS; Gatan, Pleasanton, CA, USA) equipment.

3. Results and Discussion

3.1. Physical Characteristics of Via Profiles, Step Coverages of Thin Film, and Via Filling

As shown in Table 1, the uniformity of the IMP process is high, and the resistivity of CVD TiN is relatively high. The amount of CVD TiN applied was 2 × 50 Å and IMP TiN was used without the AC bias. In addition to the application of CVD TiN to the 150-nm-class logic device, a comparative evaluation was performed to analyze the IMP TiN process in terms of process optimization.
First, each film was deposited using a wafer with well-defined contact holes to confirm the bottom coverage of IMP Ti and CVD TiN [43]. Based on the 150–180-nm design rule for logic devices, the focus of the masking/etching process was also on the contact define, so we measured the hole profile at 0.24 hole size. Of course, there will be effects such as hole profile or pre-cleaning, but the last thing is the bottom-coverage ability at the largest aspect ratio like the contact hole, so if the bottom coverage at the contact is checked, the application in the via is also problematic. Deposition was performed by sequentially depositing CVD TiN 100 Å after IMP Ti 150 Å and excluding the RF-etch cleaning step. This wafer was analyzed using cross-sectional TEM to examine the thickness of the film deposited on the bottom of the hole, and the resulting images are shown in Figure 2. As depicted in the figure, the hole depth was approximately 7700 Å, the bottom CD was 0.24 µm, and the A/R was approximately 3.2:1. Under these conditions, the IMP Ti deposited on the floor was measured to be approximately 85 kPa, and the CVD TiN was approximately 60 kPa. The surface deposition thicknesses were 150 Å and 100 Å, respectively. Based on these results, the bottom coverage for the deposition conditions in Table 2 was found to be approximately 57% for IMP Ti and approximately 80% for CVD TiN at an A/R of 3.2:1; the via D/R of the 015 logic device was 0.22 (IMD 8000 (A/R 3.6:1)).
The CVD W film was deposited to evaluate the resistivity. In the same manner as followed for the resistivity measurement of the barrier film under the conditions discussed in Section 2, the sheet resistance was measured using an Omnimap after deposition of 3800 Å of W, and the thickness was measured using cross-sectional SEM to determine the resistivity of W. The calculated specific resistance was approximately 11.5 μΩ∙cm. Next, to confirm the via filling state under the current deposition conditions, the W filling state was confirmed using a 0.22-µm via hole size. Figure 3 shows the cross-sectional SEM images of via 1 and via 2 after sample processing using PECS. As shown in the figure, via 1, which had a poor via etch profile, was not filled with W, and a void existed at the center; via 2, which had a better profile, was well filled with W.

3.2. Electrical Characteristics of Via Structure

To optimize the MLM setup, the via resistance was measured using a 0.22-size via on a patterned wafer, to analyze the electrical properties as well as the basic film properties. The experimental conditions were measured in via 1, via 4, and via 2, and the details of each experimental condition are shown in Table 3. The effects of RF etching and IMP Ti deposition were investigated on via 1, and the CVD TiN and CVD W deposition conditions were tested on via 4; finally, the results were applied to via 2.
The resistance of via 1 according to the amount of RF etching applied to it is shown in Figure 4. At this time, the RF-etching conditions were an RF power of 400 W, RF secondary power of 275 W, and AR gas of 10 sccm. The RF etching split is a value measured based on the thermal oxide plate by adjusting the etching target according to changes in the etching time. The measurement pattern is a chain pattern of 300 via holes. In this case, the wire width of metals 1 and 2 is 0.8 µm, which is the size of the via resistance measurement pattern. As shown in Figure 4, most of the module parts defined as 0.16 µm failed, and the via resistance in the module defined as 0.21 µm decreased with continued RF etching. It is confirmed that a resistance value of less than 10 kV can be obtained when it is more than 200 kV. However, in the bottom misalignment pattern, the resistance of the via increases as the misalignment increases, but the via resistance of each RF-etching split shows a different trend from the via pattern with the minimum width. In other words, the resistance value was less than approximately 10 Ω without significant change, depending on the etching target, and the highest via resistance was observed when the amount of RF etching was 150 Å. From the RF-etching target split of via 1, we can conclude that the etching target should be more than 200 Å.
The via resistance according to IMP Ti thickness is shown in Figure 5. In the case of the minimum via size pattern, failure occurred in the test pattern defined as 0.16 µm, and the via resistance decreased as the IMP Ti deposition thickness increased in the 0.21 and 0.25 µm patterns.
In the 0.21-µm pattern, the results of the 100 Å and 150 Å conditions were the worst, whereas those of 250 Å and 300 Å were the best. In the case of the bottom-misaligned via pattern, the resistance distribution showed no difference according to the misalignment in the 0.00 and 0.04 misalignments, as in the RF-etching target split. The highest via resistance was identified. Based on this, it is considered that the IMP Ti thickness of 150 Å is insufficient, and a value of at least 200 Å is required.
The CVD TiN process is performed by repeating the deposition and plasma treatment using tetrakis dimethylamino titanium (TDMAT) as the precursor, and the plasma treatment effect is known to be the best at 50 kHz [44]. CVD TiN is a subsequent process, which does not contribute directly to the via resistance [45]. Because it acts as a barrier to prevent the penetration of F ions in the W deposition process, 1 × 50 Å and 3 × 50 Å were applied based on the results for 2 × 50 Å. At this time, both RF etching and the IMP Ti target were fixed at 150 Å, and the result is shown in Figure 6.
In the figure, 3 × 50 Å showed the lowest resistance and a stable tendency for pattern sizes of 0.22 µm and 0.26 µm, whereas 1 × 50 Å showed the poorest result. In addition, the resistance of 2 × 50 Å varied by wafer in the 0.22-µm via structure, but it can be seen that the value is less than approximately 10 Ω. Based on these results, CVD TiN as a via barrier in the via structure of CMOS logic interconnection is expected to show satisfactory performance in the 2 × 50 Å process, whereas the 3 × 50 Å process yields very good results.
Next, IMP TiN was applied as the via barrier, which has the advantages of lower resistivity, process time, and cost than CVD TiN [42,46]. IMP TiN was mounted with CVD TiN, as shown in Figure 1. The resistivity and deposition conditions of the film are shown in Table 1; Table 2, respectively. The deposition thickness of IMP TiN was similar to that of CVD TiN in as much as the amount deposited on the bottom of the via hole was equal to CVD TiN. Based on 80% and 57% bottom coverage of CVD TiN and IMP Ti, respectively, calculated at the 3.2:1 A/R, the bottom coverage of IMP Ti corresponded to a 3.5:1 A/R. When the coverage was 51%, the deposition thickness of IMP TiN was determined to be 200 μs based on the condition that the coverage of IMP TiN was 38%. This result is shown in Figure 7a. IMP TiN showed good results based on the results of the via resistance alone. This may be due to its lower resistivity than CVD TiN, but it should be considered that RF etching and IMP Ti are not optimized to 0.22-µm size, and addition of the IMP process can cause device damage. That is, as discussed above, the via resistance is not significantly degraded by the current CVD TiN condition, and as shown in Figure 7c, the via resistance is significantly improved when the RF etching and IMP Ti conditions are improved. It would be reasonable to use CVD TiN and consider the applicability of IMP TiN rather than select IMP TiN by a simple comparison with IMP TiN. The applicability of IMP TiN as a via barrier is considered satisfactory.
Figure 7b shows the results of the via resistance when W was deposited under different deposition conditions. The process temperature of 350 °C corresponds to an A/R of approximately 9:1, and the respective deposition conditions are shown in Table 4.
The difference between the results of the 256LD and 015 logic devices occurs because the deposition temperature (350 °C) of 256LD is significantly lower, and the nucleation time is short. The nucleation thickness was approximately 400 µs under the deposition conditions for the 015 logic device, and the nucleation under the conditions applied for 256LD is expected to be thinner due to the short nucleation time. The results for the via resistance show that the variation between the wafers is severe, and the difference in each condition is within the wafer deviation, making it difficult to establish an accurate comparison; however, there is no difference between the two conditions. In other words, the effect of nucleation thickness and deposition temperature on the via resistance does not appear to be significant. Based on the split results in Figure 7c, we compared the via resistance values between the conditions expected to be the most suitable for the 015 logic via barrier and the other existing conditions. The optimal conditions were selected as RF etching 200 [47], IMP Ti 200, and CVD TiN 2 × 50 Å. The results show that the obtained via resistance is less than approximately 5 kW, and the distribution of resistance is very good.

4. Conclusions

The vias of logic devices can be filled by applying W plugs, and the RF etching 200 Å IMP Ti 200 Å CVD TiN 2 × 50 Å barrier structure provides stable and excellent via resistance. The conditions for optimization were selected.
The possibility of using IMP TiN as a barrier in the via was confirmed. The resistivities of IMP Ti and CVD TiN were 73 and 280 μΩcm, and the bottom coverages were 57% and 80%, respectively, at a 3.2:1 A/R. The specific resistance of the W film was approximately 11.5 μΩcm, and it was confirmed that the via filling could be performed smoothly. The RF etching and IMP Ti should be at least 200 Å. W deposition showed no difference in the via resistance with deposition temperature and SiH4 reduction time. Therefore, RF etching 200 Å IMP Ti 200 Å CVD TiN 2 × 50 Å yielded the best results.

Author Contributions

Conceptualization, Y.C. and J.Y.J.; methodology, J.Y.J.; resources, Y.C. and Y.G.; software, Y.C.; supervision, S.G.P.; validation, S.G.P.; writing—original draft, S.G.P.; writing—review and editing, Y.G. and S.G.P. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education, Science and Technology (NRF-2019R1A2C1007670).

Acknowledgments

The authors would like to thank S.B. Kim and Magnachip technical members for their assistance and discussions.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Kim, J.H.; Yoo, W.S.; Han, S.M. Non-destructive micro-Raman analysis of Si near Cu through silicon via. Electron. Mater. Lett. 2017, 13, 120–128. [Google Scholar] [CrossRef]
  2. Choi, D.; Barmak, K. On the potential of tungsten as next-generation semiconductor interconnects. Electron. Mater. Lett. 2017, 13, 449–456. [Google Scholar] [CrossRef]
  3. Sul, W.S.; Kwon, S.H.; Choi, E.; Cui, Y.; Lee, K.W.; Shim, H.J.; Gao, Y.; Hahn, S.J.; Pyo, S.G. Radiofrequency characteristics of ionized sputtered tantalum nitride thin-film resistor in CMOS device. Electron. Mater. Lett. 2017, 13, 230–234. [Google Scholar] [CrossRef]
  4. Hyun, D.; Shin, Y. Automatic insertion of airgap with design rule constraints. In Proceedings of the IEEE 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), Jeju Island, Korea, 22–25 January 2018. [Google Scholar]
  5. Kamineni, V.; Raymond, M.; Siddiqui, S.; Mont, F.; Tsai, S.; Niu, C.; Labonte, A.; Labelle, C.; Fan, S.; Peethala, B. Tungsten and cobalt metallization: A material study for MOL local interconnects. In Proceedings of the IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016. [Google Scholar]
  6. Hansen, K.; Kousar, S.; Pitzl, D.; Arab, S. Fluxless flip-chip bonding using a lead-free solder bumping technique. J. Instrum. 2017, 12, T09006. [Google Scholar] [CrossRef]
  7. Abdulgadir, M.; Demir, B.; Turan, M. Hybrid reinforced magnesium matrix composites (Mg/Sic/GNPs): Drilling investigation. Metals 2018, 8, 215. [Google Scholar] [CrossRef] [Green Version]
  8. Choi, S.J.; Kim, I.D. Recent Developments in 2D Nanomaterials for Chemiresistive-Type Gas Sensors. Electron. Mater. Lett. 2018, 14, 221–260. [Google Scholar] [CrossRef]
  9. Rho, W.Y.; Lee, K.H.; Han, S.H.; Kim, H.Y.; Jun, B.H. Au-Embedded and Carbon-Doped Freestanding TiO2 Nanotube Arrays in Dye-Sensitized Solar Cells for Better Energy Conversion Efficiency. Micromachines 2019, 10, 805. [Google Scholar] [CrossRef] [Green Version]
  10. Long, Y.; Fan, R.; Chen, H.; Li, H. The study and investigation of inline E-beam inspection for 28 nm process development. In Proceedings of the 2017 IEEE China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 12–13 March 2017. [Google Scholar]
  11. Lee, K.J.; Chang, Y.C.; Lee, C.J.; Wang, L.W.; Wang, Y.H. 1T1R Nonvolatile Memory with Al/TiO2/Au and Sol-Gel-Processed Insulator for Barium Zirconate Nickelate Gate in Pentacene Thin Film Transistor. Materials 2017, 10, 1408. [Google Scholar]
  12. Fischer, K.J.; Pelto, C.M.; Yeoh, A.W. Thickened Stress Relief and Power Distribution Layer. U.S. Patent Application No. 15,274,175, 12 March 2019. [Google Scholar]
  13. Moon, J.; Lee, T.Y.; Ahn, H.J.; Lee, T.I.; Hwang, W.S.; Cho, B.J. Fluorine Effects Originating from the CVD-W Process on Charge-Trap Flash Memory Cells. IEEE Trans. Electron Devices 2018, 66, 378–382. [Google Scholar] [CrossRef]
  14. Park, J.; Ha, J.S.; Hong, S.K.; Lee, S.W.; Cho, M.W.; Yao, T.; Lee, H.W.; Lee, S.H.; Lee, S.K.; Lee, H.J. Heteroepitaxial growth of GaN on various powder compounds (AlN, LaN, TiN, NbN, ZrN, ZrB2, VN, BeO) by hydride vapor phase epitaxy. Electron. Mater. Lett. 2012, 8, 135–139. [Google Scholar] [CrossRef]
  15. Ou, N.C.; Bock, D.C.; Su, X.; Craciun, D.; Craciun, V.; McElwee-White, L. Growth of WO x from Tungsten (VI) Oxo-Fluoroalkoxide Complexes with Partially Fluorinated β-Diketonate/β-Ketoesterate Ligands: Comparison of Chemical Vapor Deposition to Aerosol-Assisted CVD. ACS Appl. Mater. Interfaces 2019, 11, 28180–28188. [Google Scholar] [CrossRef] [PubMed]
  16. Lee, P.; Cronin, J.; Kaanta, C. Chemical vapor deposition of tungsten (CVD W) as submicron interconnection and via stud. J. Electrochem. Soc. 1989, 136, 2108–2112. [Google Scholar] [CrossRef]
  17. Chang, H.L.; Juang, F.L.; Kuo, C.T. Effect of silane flowing time on W volcano and plug formation. Jpn. J. Appl. Phys. 2002, 41, 2906. [Google Scholar] [CrossRef]
  18. Kraft, J.; Stückler, E.; Cassidy, C.; Niko, W.; Schrank, F.; Wachmann, E.; Gspan, C.; Hofer, F. Volcano effect in open through silicon via (TSV) technology. In Proceedings of the 2012 IEEE International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 15–19 April 2012. [Google Scholar]
  19. El-Kareh, B.; Hutter, L.N. Process Integration. In Silicon Analog Components; Springer: Berlin, Germany, 2020; pp. 447–494. [Google Scholar]
  20. Dixit, G.A.; Paranjpe, A.; Hong, Q.Z.; Ting, L.M.; Luttmer, J.D.; Havemann, R.H.; Paul, D.; Morrison, A.; Littau, K.; Eizenberg, M. A novel 0.25/spl mu/m via plug process using low temperature CVD Al/TiN. In Proceedings of the International Electron Devices Meeting, Washington, DC, USA, 10–13 December 1995. [Google Scholar]
  21. Ma, L.; Zhang, L.; Zhao, P.; Hu, N.; Gong, Z.; Ye, W.; Wei, Q.; Zhou, K.; Yu, Z.; Zhang, Y. A new design of composites for thermal management: Aluminium reinforced with continuous CVD diamond coated W spiral wires. Mater. Des. 2016, 101, 109–116. [Google Scholar] [CrossRef]
  22. Jeong, I.; Lee, J.; Vincent Joseph, K.L.; Lee, H.I.; Kim, J.K.; Yoon, S.; Lee, J. Low-cost electrospun WC/C composite nanofiber as a powerful platinum-free counter electrode for dye sensitized solar cell. Nano Energy 2014, 9, 392–400. [Google Scholar] [CrossRef]
  23. Kim, Y.W.; Park, H.S. Microstructural and Magnetic Characterization of Iron Oxide Nanoparticles Fabricated by Pulsed Wire Evaporation. Electron. Mater. Lett. 2019, 15, 665–672. [Google Scholar] [CrossRef]
  24. Urbansky, N.; Harris, M.; Butler, D.; Rich, P.; Buchanan, K.; Goergens, C. Advanced long throw PVD for contact to silicon and via applications. Microelectron. Eng. 2001, 55, 397–402. [Google Scholar] [CrossRef]
  25. Gittleman, B.; Stowell, M. Plasma deposition and characterization technologies for structural and coverage optimization of materials for nanopatterned devices. In Modeling, Characterization, and Production of Nanomaterials; Woodhead Publishing: Cambridge, UK, 2015; pp. 371–405. [Google Scholar]
  26. Ou, Y.; Lin, J.; Tong, S.; Che, H.; Sproul, W.; Lei, M. Wear and corrosion resistance of CrN/TiN superlattice coatings deposited by a combined deep oscillation magnetron sputtering and pulsed dc magnetron sputtering. Appl. Surf. Sci. 2015, 351, 332–343. [Google Scholar] [CrossRef]
  27. Geringswald, D.; Hintze, B.; Ernst, M. Optimization of a TiN PE-MOCVD Process Using Doe Methodology. ECS J. Solid State Sci. Technol. 2017, 6, 76–82. [Google Scholar] [CrossRef]
  28. Xiang, W.; Liu, Y.; Zhang, J. Influence of Microstructure on the Electrical Properties of Heteroepitaxial TiN Films. Electron. Mater. Lett. 2018, 14, 314–318. [Google Scholar] [CrossRef]
  29. Kia, A.M.; Haufe, N.; Esmaeili, S.; Mart, C.; Utriainen, M.; Puurunen, R.L.; Weinreich, W. ToF-SIMS 3D Analysis of Thin Films Deposited in High Aspect Ratio Structures via Atomic Layer Deposition and Chemical Vapor Deposition. Nanomaterials 2019, 9, 1035. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  30. Hößler, D.; Ernst, M. Optimization of a TiSi2 formation based on PECVD Ti using DoE methodology. Solid. State. Electron. 2019, 158, 51–58. [Google Scholar] [CrossRef]
  31. Luoh, T.; Huang, Y.K.; Hung, Y.T.; Yang, L.W.; Yang, T.H.; Chen, K.C. TiCl4 Barrier Process Engineering in Semiconductor Manufacturing. Coatings 2016, 6, 2. [Google Scholar] [CrossRef] [Green Version]
  32. Harrison, K.L.; Clary, W.A.; Provine, J.; Howe, R.T. Back-end-of-line compatible Poly-SiGe lateral nanoelectromechanical relays with multi-level interconnect. Microsyst. Technol. 2017, 23, 2125–2130. [Google Scholar] [CrossRef]
  33. Lee, H.; Cho, H.; Byun, C.W.; Kang, C.M.; Han, J.H.; Lee, J.I.; Kim, H.; Lee, J.H.; Kim, M.; Cho, N.S. Device Characteristics of Top-Emitting Organic Light-Emitting Diodes Depending on Anode Materials for CMOS-Based OLED Microdisplays. IEEE Photonics J. 2018, 10, 1–9. [Google Scholar] [CrossRef]
  34. Moskalewicz, T.; Zimowski, S.; Fiołek, A.; Łukaszczyk, A.; Dubiel, B.; Cieniek, Ł. The Effect of the Polymer Structure in Composite Alumina/Polyetheretherketone Coatings on Corrosion Resistance, Micro-mechanical and Tribological Properties of the Ti-6Al-4V Alloy. J. Mater. Eng. Perform. 2019, 2019, 1–13. [Google Scholar]
  35. Lee, H.S.; Yeom, S.J.; Lim, S.W.; Hong, S.H.; Lee, N.Y. Semiconductor Device with Air Gap Spacer and Capping Barrier Layer and Method for Fabricating the Same. U.S. Patent 9,159,609, 13 October 2015. [Google Scholar]
  36. Ben Messaoud, J.; Michaud, J.F.; Certon, D.; Camarda, M.; Piluso, N.; Colin, L.; Barcella, F.; Alquier, D. Investigation of the Young’s Modulus and the Residual Stress of 4H-SiC Circular Membranes on 4H-SiC Substrates. Micromachines 2019, 10, 801. [Google Scholar] [CrossRef] [Green Version]
  37. Balasubramanyam, N.; Prasanthi, S.G.; Yugandhar, M. Study of coated TiN and TiC on cutting tools for the PVD and CVD coated tungsten carbide by sand blasting pretreatment of nickel and carbon. Int. J. Adv. Sci. Technol. 2015, 75, 51–58. [Google Scholar] [CrossRef]
  38. Qu, S.; Zhang, C.; Li, M.; Zhang, Y.; Chen, L.; Yang, Y.; Kang, B.; Wang, Y.; Duan, J.; Wang, W. Enhanced proton conductivity of sulfonated poly (ether ether ketone) membranes at elevated temperature by incorporating (3-aminopropyl) triethoxysilane-grafted graphene oxide. Korean J. Chem. Eng. 2019, 36, 2125–2132. [Google Scholar] [CrossRef]
  39. Jin, M.M.; Cheng, L.; Li, Y.; Hu, S.Y.; Lu, K.; Chen, J.; Duan, N.; Wang, Z.R.; Zhou, Y.X.; Chang, T.C. Reconfigurable logic in nanosecond Cu/GeTe/TiN filamentary memristors for energy-efficient in-memory computing. Nanotechnology 2018, 29, 385203. [Google Scholar] [CrossRef]
  40. Li, Y.L.; Chang-Liao, K.S.; Chang, Y.W.; Huang, T.J.; Li, C.C.; Gu, Z.C.; Chen, P.Y.; Wu, T.Y.; Huang, J.; Chu, F.C. Improved reliability characteristics of Ge MOS devices by capping Hf or Zr on interfacial layer. Microelectron. Relia. 2017, 79, 136–139. [Google Scholar] [CrossRef]
  41. Rahman, M.A.; Park, H.; Kim, A.; Lee, C.; Lee, J. Selective Deposition of Copper with Iodine Assisted Growth of MOCVD on an MPTMS Monolayer Surface at a Low Temperature. Electron. Mater. Lett. 2010, 6, 209–213. [Google Scholar] [CrossRef]
  42. Kim, Y.J.; Lim, D.; Han, H.; Sergeevich, A.S.; Jeon, Y.R.; Lee, J.H.; Son, S.K.; Choi, C. The effects of process temperature on the work function modulation of ALD HfO2 MOS device with plasma enhanced ALD TiN metal gate using TDMAT precursor. Microelectron. Eng. 2017, 178, 284–288. [Google Scholar] [CrossRef]
  43. Singh, R.; Boettcher, M.; Panchenko, I.; Fiedler, C.; Schwarz, A.; Wolf, J. Fabrication and characterization of precise integrated titanium nitride thin film resistors for 2.5 D interposer. In Proceedings of the 2017 40th International Spring Seminar on Electronics Technology (ISSE), Sofia, Bulgaria, 10–14 May 2017. [Google Scholar]
  44. Zhuiykov, S.; Akbari, M.K.; Hai, Z.; Xue, C.; Xu, H.; Hyde, L. Wafer-scale fabrication of conformal atomic-layered TiO2 by atomic layer deposition using tetrakis (dimethylamino) titanium and H2O precursors. Mater. Des. 2017, 120, 99–108. [Google Scholar] [CrossRef]
  45. Motola, M.; Dworniczek, E.; Satrapinskyy, C.D.; Chodaczek, G.; Grzesiak, J.; Gregor, M.; Plecenik, T.; Nowicka, J.; Plesch, G. UV light-induced photocatalytic, antimicrobial, and antibiofilm performance of anodic TiO2 nanotube layers prepared on titanium mesh and Ti sputtered on silicon. Chem. Pap. 2019, 73, 1163–1172. [Google Scholar] [CrossRef]
  46. Li, Y.; Ng, G.I.; Arulkumaran, S.; Liu, Z.; Ranjan, K.; Xing, W.; Ang, K.; Murmu, P.; Kennedy, J. AlGaN/GaN high electron mobility transistors on Si with sputtered TiN gate. Phys. Status Solidi 2017, 214, 1600555. [Google Scholar] [CrossRef]
  47. Lee, T.; Lim, B.; Yong, K.; Kwon, W.; Park, M. Effects of oxygen plasma generated in magnetron sputtering of ruthenium oxide on pentacene thin film transistors. Korean J. Chem. Eng. 2017, 34, 2502–2506. [Google Scholar] [CrossRef]
Figure 1. TEM image and Omnimap of (a) IMP Ti 200 Å, (b) IMP TiN 300 Å, and (c) CVD TiN 100 Å (2 × 50 Å). (d) Schematic diagram of cluster tool containing IMP TiN deposition chamber, IMP Ti deposition chamber, pre-clean chamber (PC II), cooling chamber, and CVD TiN deposition chamber.
Figure 1. TEM image and Omnimap of (a) IMP Ti 200 Å, (b) IMP TiN 300 Å, and (c) CVD TiN 100 Å (2 × 50 Å). (d) Schematic diagram of cluster tool containing IMP TiN deposition chamber, IMP Ti deposition chamber, pre-clean chamber (PC II), cooling chamber, and CVD TiN deposition chamber.
Micromachines 11 00032 g001
Figure 2. TEM image of cross section after each film is deposited using HRS0005 with complete contact hole definition.
Figure 2. TEM image of cross section after each film is deposited using HRS0005 with complete contact hole definition.
Micromachines 11 00032 g002
Figure 3. The cross section SEM images of after (a) via 1 etch, (b) via1 plug W filling, (c) via2 etch, and (d) via 2 plug W filling.
Figure 3. The cross section SEM images of after (a) via 1 etch, (b) via1 plug W filling, (c) via2 etch, and (d) via 2 plug W filling.
Micromachines 11 00032 g003
Figure 4. Via resistance according to IMP Ti thickness in minimum via size pattern (a) via 1 0.21 μm, (b) via 1 0.25 μm.
Figure 4. Via resistance according to IMP Ti thickness in minimum via size pattern (a) via 1 0.21 μm, (b) via 1 0.25 μm.
Micromachines 11 00032 g004
Figure 5. etch critical demension (FICD) SEM image of (a) 0.16, (b) 0.21 and (c) 0.25 via 1 insistence (minimum width via pattern).
Figure 5. etch critical demension (FICD) SEM image of (a) 0.16, (b) 0.21 and (c) 0.25 via 1 insistence (minimum width via pattern).
Micromachines 11 00032 g005
Figure 6. Via resistance according to CVD TiN thickness of (a) 0.22 μm and (b) 0.25 μm in minimum via size pattern.
Figure 6. Via resistance according to CVD TiN thickness of (a) 0.22 μm and (b) 0.25 μm in minimum via size pattern.
Micromachines 11 00032 g006
Figure 7. (a) Comparison of via resistance between IMP TiN and CVD TiN. (b) Comparison of results of change of W deposition temperature 350 °C. (c) 015 logic via barrier evaluation of via resistance in deposition conditions.
Figure 7. (a) Comparison of via resistance between IMP TiN and CVD TiN. (b) Comparison of results of change of W deposition temperature 350 °C. (c) 015 logic via barrier evaluation of via resistance in deposition conditions.
Micromachines 11 00032 g007
Table 1. Sheet resistance (Rs) and specific resistance value (ρ) for each film.
Table 1. Sheet resistance (Rs) and specific resistance value (ρ) for each film.
Analysis ItemIMP TiCVD TiNIMP TiN
Average Rs (Ω/sq)39.9302.0529.06
Unif. (%)4.993.559.05
TEM phase thickness (center, Å)195100345
Rs (center, Ω/sq)37.5280.824.73
Resistivity (μΩ∙cm)~73~280~85
Stress (dyne∙cm2)−2.142 × 10−9−4.788 × 10−9−6.762 × 10−9
Table 2. Deposition conditions for each film.
Table 2. Deposition conditions for each film.
IMP TiDC PowerRF PowerAC BiasAr
2250 W2750 W0 W56 sccm
CVD TiNDeposition ConditionPLASMA TREAT
PressureTEMPHe carrPressureRF powerTEMP
1.5 Torr450 °C225 sccm1.3 Torr750 W450 °C
IMP TiNDC powerRF powerAC biasArN2
4000 W2500 W0 W25 sccm28 sccm
Table 3. Split item for each step.
Table 3. Split item for each step.
StepWafer No.RF Etch TargetIMP Ti TargetCVD TiN Target
Via 101, 02501502 × 50
03, 04100
05, 06150
07, 08200
09, 10250
11, 12150100
13, 14200
15, 16250
17, 18300
19, 20150IMP TiN 200
Via 401, 02, 031501502 × 50
04, 05, 061501502 × 50
07, 08, 091501503 × 50
10, 11, 121501501 × 50
Via 211, 121501502 × 50
13, 14, 152002002 × 50
Table 4. Tungsten (W) deposition conditions.
Table 4. Tungsten (W) deposition conditions.
Item015 Logic256 LD
GasSiH4 (slm)0.0250.025
H2 (slm)66
WF6 (slm)0.280.28
Temp.T1 (°C)395395
T2 (°C)395350
T3 (°C)395350
T4 (°C)395445
T5 (°C)475475
Nucleation timeSiH4 reduction (sec)128
Deposition thickness (Å)38004000

Share and Cite

MDPI and ACS Style

Cui, Y.; Jeong, J.Y.; Gao, Y.; Pyo, S.G. Process Optimization of Via Plug Multilevel Interconnections in CMOS Logic Devices. Micromachines 2020, 11, 32. https://doi.org/10.3390/mi11010032

AMA Style

Cui Y, Jeong JY, Gao Y, Pyo SG. Process Optimization of Via Plug Multilevel Interconnections in CMOS Logic Devices. Micromachines. 2020; 11(1):32. https://doi.org/10.3390/mi11010032

Chicago/Turabian Style

Cui, Yinhua, Jeong Yeul Jeong, Yuan Gao, and Sung Gyu Pyo. 2020. "Process Optimization of Via Plug Multilevel Interconnections in CMOS Logic Devices" Micromachines 11, no. 1: 32. https://doi.org/10.3390/mi11010032

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop