Next Article in Journal
Catalytic Oxidation Activity of NO over Mullite-Supported Amorphous Manganese Oxide Catalyst
Previous Article in Journal
Microstructural and Mechanical Characteristics of Alkali-Activated Binders Composed of Milled Fly Ash and Granulated Blast Furnace Slag with µ-Limestone Addition
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Contribution of Ion Energy and Flux on High-Aspect Ratio SiO2 Etching Characteristics in a Dual-Frequency Capacitively Coupled Ar/C4F8 Plasma: Individual Ion Energy and Flux Controlled

1
Applied Physics Lab for PLasma Engineering (APPLE), Department of Physics, Chungnam National University, Daejeon 34134, Republic of Korea
2
Institute of Quantum Systems (IQS), Chungnam National University, Daejeon 34134, Republic of Korea
3
Samsung Electronics, Hwaseong-si 18448, Republic of Korea
*
Author to whom correspondence should be addressed.
Materials 2023, 16(10), 3820; https://doi.org/10.3390/ma16103820
Submission received: 29 March 2023 / Revised: 13 May 2023 / Accepted: 15 May 2023 / Published: 18 May 2023

Abstract

:
As the process complexity has been increased to overcome challenges in plasma etching, individual control of internal plasma parameters for process optimization has attracted attention. This study investigated the individual contribution of internal parameters, the ion energy and flux, on high-aspect ratio SiO 2 etching characteristics for various trench widths in a dual-frequency capacitively coupled plasma system with Ar/C 4 F 8 gases. We established an individual control window of ion flux and energy by adjusting dual-frequency power sources and measuring the electron density and self-bias voltage. We separately varied the ion flux and energy with the same ratio from the reference condition and found that the increase in ion energy shows higher etching rate enhancement than that in the ion flux with the same increase ratio in a 200 nm pattern width. Based on a volume-averaged plasma model analysis, the weak contribution of the ion flux results from the increase in heavy radicals, which is inevitably accompanied with the increase in the ion flux and forms a fluorocarbon film, preventing etching. At the 60 nm pattern width, the etching stops at the reference condition and it remains despite increasing ion energy, which implies the surface charging-induced etching stops. The etching, however, slightly increased with the increasing ion flux from the reference condition, revealing the surface charge removal accompanied with conducting fluorocarbon film formation by heavy radicals. In addition, the entrance width of an amorphous carbon layer (ACL) mask enlarges with increasing ion energy, whereas it relatively remains constant with that of ion energy. These findings can be utilized to optimize the SiO 2 etching process in high-aspect ratio etching applications.

1. Introduction

Plasma, which is composed of charged and neutral particles, has been widely used in material processing due to its chemically reactive species and energetic ions, which can activate and modify the surface of materials [1]. These characteristics have made plasma processing a significant tool in semiconductor fabrication, particularly in high-aspect ratio (HAR) etching for creating three-dimensional transistor structures and improving transistor stacking [2,3,4].
As the feature size shrinks to nano and atomic scales, and the layer stacking increases, severe challenges emerge in HAR etching, including notching, bowing, twisting, and tapering, and aspect ratio-dependent etching [4,5]. To overcome these issues, multi-frequency modulation, voltage wave tailoring, and pulse-modulated methods have been developed for precise control of ion energy and ion-to-neutral flux [6,7,8,9]. Furthermore, processing has become more complicated; the number of processing steps increases and complex gas mixtures are employed. Due to its complexity, the exact etching mechanism has yet to be clearly understood; etching depends on various internal parameters of plasma and chemical species, which are difficult to measure and control due to the complex nature of plasma. As a result, most experimental studies have analyzed the effects of external parameters such as gas composition, bias voltage, and power on HAR etching characteristics [2,3,10,11,12].
Alternatively, several computational studies have investigated the etching mechanism in HAR features, considering internal parameters. For instance, Huang et al. [13] investigated HAR etching with a C 4 F 8 /Ar/O 2 mixture based on a hybrid plasma equipment model (HPEM) and Monte Carlo feature profile model (MCFPM). They found that hot neutrals formed by the charge neutralization process of energetic ions with the side wall inside the HAR trench can reach the deep etch front through the Knudsen transport and become the main etchant. On the other hand, radical species entering the trench entrance cannot reach the deep etch front due to its isotropic nature and their high reactivity with the side wall. Wang et al. [14] found an etching mechanism transition from fluorocarbon (FC) film deposition to chemical sputtering in a C 4 F 6 /Ar/O 2 gas mixture environment through HPEM and MCFPM simulation. Kwon et al. [15] investigated the role of heavy ion impact on the feature-to-feature profile of SiO 2 by using a multi-scale computer simulation. They found that heavy ion sputtering reduces the feature-to-feature distortion. Recently, Jeong et al. [16] experimentally established well-controlled regimes of ion and radicals fluxes in C 4 F 8 /Ar plasma by varying the C 4 F 8 fraction and using pulse-modulated radio-frequency power, and they investigated etching characteristics at each regime. They found that the neutral-to-ion flux ratio is the key factor for etching dynamics. At a low neutral-to-ion flux ratio, the etching rate increases with the increasing of the flux ratio due to enhanced chemical reactions on the etch front, whereas at a high neutral-to-ion flux ratio, the etching rate decreases with the increasing of the flux ratio due to the thick FC film formation. Furthermore, their results exhibited that ion transport toward the etch front inside the HAR feature is a significant factor for understanding the etching mechanism.
Because experimental and computational studies have revealed that ions inside the HAR feature play a significant role in HAR SiO 2 etching [13,14,15,16], most simulation studies have focused on the validation of technologies for individual control of ion flux and energy. For instance, Kim et al. [17] proved the capability of the individual control of ion flux and energy distribution by varying dual-frequency voltages through a two-dimensional particle-in-cell Monte Carlo collisions (PIC-MCC) simulation. Schulze et al. [18] proved the voltage pulse wave tailoring technology for individual control of the ion flux and energy through a two-dimensional PIC-MCC simulation in dual-frequency voltage sources. In addition, most experimental studies analyzed the coupled influence of ion flux and energy for etching based on the ion parameter measurement [3,10,19]. However, the detailed analysis on the separate influence of ion flux and energy on SiO 2 etching in the HAR feature based on the individual control and measurement of ion parameters has yet to be investigated. In this study, we established control regimes for ion parameters, the ion energy and flux, at dual-frequency capacitively coupled C 4 F 8 /Ar plasma and elucidated their contribution on HAR SiO 2 etching characteristics.
This paper is organized as follows: In Section 2, we describe the experimental setup and the individual control regime of ion energy and flux. Section 3 provides the experimental results and detailed analysis. In Section 4, we summarize the findings of this study.

2. Experiment Setup, Measurement Methods, and Volume-Ag Plasma Model

2.1. Dual-Frequency Capacitively Coupled Plasma Source

We employed a dual-frequency capacitively coupled Ar/C 4 F 8 plasma source as shown in Figure 1. The experimental setup is the same as that in [16] except the additional power source. Discharge power from 13.56 MHz (AE paramount, Advanced energy Inc., Denver, CO, USA) and 400 kHz power sources (AE paramount, Advanced energy Inc., Denver, CO, USA) is applied to the powered electrode with a diameter of 200 mm through a dual-frequency matcher (Pathfinder Dual, Plasmart, Daejeon, Republic of Korea). The discharge gap is 120 mm. Processing gases, C 4 F 8 and Ar, are injected into a vacuum chamber with radius of 400 mm through a shower head. The flow rate of C 4 F 8 and Argon is 80 and 20 standard cubic centimeter per minute (sccm), respectively, controlled by a mass flow controller (MFC) (Linetech, Daejeon, Republic of Korea). A turbomolecular pump (TG1003, Osaka vacuum, Ltd., Osaka, Japan) accompanied with a dry pump (ADP122, Alcatel, A β lar, Germany) draw the gases. The chamber pressure is maintained as 20 mTorr, which is regulated by adjusting a throttle valve (Atovac, Gyeonggi-do, Republic of Korea).
The powered electrode consists of top and bottom parts. As the high power is applied in the whole powered electrode, coolant flows through the bottom of the powered electrode. Furthermore, high-pressure helium gas is injected to the electrode for improving thermal contact between the top and bottom of the powered electrode. Here, a wafer sample is located on the top of the powered electrode.

2.2. Wafer Sample

A coupon wafer with 12 mm × 7 mm is located at the center of the electrode through a vacuum load-lock chamber. Figure 2 shows scanning electron microscope (SEM) images of a cross section of the wafer before etching. There is a patterned amorphous carbon layer (ACL) mask with thickness of 1400 nm, which is widely used in HAR etching as a mask [16,20]. It has a trench pattern with various line widths ranging from 60 nm to 200 nm. At the top of the ACL mask, there is a thin SiON layer with thickness of 50 nm. At the bottom of the ACL mask, there is a SiO 2 layer with thickness of 2400 nm deposited through plasma-enhanced tetra ethylene ortho silicate (PE-TEOS) processing. At the bottom of the SiO 2 layer, there is a Si substrate.
After etching, the sample is extracted from the chamber and then moved to SEM instrument.

2.3. Ion Flux and Energy Measurement Method

In plasma diagnostics, ion parameters such as ion flux ( Γ ion ) and energy ( E ion ) can be determined with a retarded-field energy analyzer (RFEA) by measuring ion conduction current and deriving ion energy distribution function. However, in processing plasma, the RFEA is not applicable due to polymeric film deposition, leading to block the conduction current. Furthermore, measuring ion parameters incident on the powered electrode (PE) with the RFEA suffers from huge noises induced by the PE. Alternatively, we estimate the ion flux incident on the PE ( Γ ion PE ), where a wafer sample locates, by measuring bulk electron density ( n e ) [2,3].
Following is an explanation of this method to estimate the Γ ion PE with the n e . In electronegative plasma as with C 4 F 8 plasma, the n e is the same with the sum of positive ion densities at the plasma–sheath boundary (PSB) due to quasi-neutral character of plasma as
n e = species n ion species .
Here, the sheath is the space-charge region covering plasma where ions are accelerated to the material surface. Negative ions are not taken into account in Equation (1) because the electronegative core where negative ions are confined is restricted inside the plasma bulk [21]. Specifically, the negative ions are under Maxwellian distribution with an ion temperature of T i , which can be assumed as room temperature (T i = 0.026 eV) in low-temperature plasma [22], and thus, most negative ions cannot escape the plasma bulk because the ambipolar potential in the bulk is in the order of a few eV. Hence, only electrons and positive ions exist at the PSB, and Equation (1) is valid. The Γ ion PSB is defined as
Γ ion PSB = species n ion species u B species
where u B species ( = e T e / M ion species ) is the Bohm velocity of ion, e is the elementary charge, T e is the electron temperature, and M ion species is the mass of the ion [21]. As the Bohm velocity has a root power for the M ion species and the mass of dominant ion species varies from 40 amu (Ar) to 131 amu (C 3 F 5 ) [13], where amu is atomic mass unit (1 amu = 1.66 × 10 27 kg), it can be assumed as a constant and we can take the Bohm velocity out of the summation in Equation (2). Then, the Γ ion PSB is proportional to the sum of the positive ion densities ( n ion species ), and therefore to the n e as
Γ ion PSB n e
Furthermore, the sheath in 20 mTorr can be assumed as a collisionless environment, and the ion flux is conserved, ensuring that the ion flux at the PSB is the same as that on the PE as
Γ ion PSB = Γ ion PE ,
and thus,
Γ ion PE n e .
We measured the n e with the cutoff probe at the chamber center, which is a precise tool for electron density measurement applicable to plasma processing [23,24]. The detailed principle is well-described elsewhere [23]. The cutoff probe utilizes small-power microwaves ranging from a few MHz to GHz and measures the cutoff frequency ( f cutoff ) in a transmission microwave frequency spectrum. In a low-pressure condition, the f cutoff has a relationship with the n e as [23]
f cutoff = e 2 n e ϵ 0 m e
where ϵ 0 is the permittivity in vacuum and m e is the electron mass. Here, we measure the transmission microwave frequency spectrum with a vector network analyzer (E5071B, Agilent technologies Inc., Santa Clara, CA, USA).
In addition to the n e , we can estimate the E ion by measuring the self-bias voltage ( V self ). Following is the explanation of this method. The ions are accelerated by the electric field in the sheath and strike the wafer sample with kinetic energy, E ion . As the sheath oscillates, the E ion is proportional to the time-averaged value of the voltage difference between the plasma potential ( V p ( t ) ) and the powered electrode voltage ( V PE ( t ) ) [21], that is,
E ion 1 T 0 T e ( V p ( t ) V PE ( t ) ) d t ,
where T is the period of the low-deriving frequency. In this system, the peak to peak of the V PE ( t ) is a few kV and that of the V p ( t ) is to the order of ten volts in a capacitively coupled plasma [21], and thus, we can neglect the V p ( t ) in Equation (7). The V self is defined as the time-averaged value of the powered electrode voltage, that is,
V self = 1 T 0 T V PE ( t ) d t .
Thus, the E ion is proportional to the V self [25,26], that is,
E ion V self .
To derive the V self , we measured a voltage waveform with a high-voltage probe (P5100A, Tektronix Inc., Beaverton, OR, USA) and an oscilloscope (TDS3052B, Tektronix Inc., Beaverton, OR, USA) as shown in Figure 1.

2.4. Ion Energy and Flux-Controlled Regimes

To control the ion energy and flux, we adjusted the dual-frequency powers. For the ion flux-controlled condition, we adjust 13.56 MHz powers for controlling the n e and 400 kHz powers for fixing the V self . Similarly, for the ion energy-controlled condition, we adjust 400 kHz powers for controlling the V self and 13.56 MHz power for fixing the n e .
Figure 3 shows the variation in the n e at fixed V self , indicating the ion flux-controlled regime, and the variation in V self at the fixed n e , indicating the ion energy-controlled regime. Hence, we established the ion flux- and the ion energy-controlled regimes.

2.5. Volume-Averaged Plasma Model

As the electron density increases, the radicals density inevitably changes because electrons dominate the radicals’ production reactions [2,3,16,21]. The precise measurement of the radicals density is a great challenge in plasma processing. Several studies used optical emission spectroscopy [27,28] and a quadrupole mass spectrometer [2,29] for estimating radicals densities, but its accuracy remains questionable. Alternatively, a volume-averaged model was used for analyzing plasma chemistry in a complex gas mixture [30,31,32]. We developed an in-house volume-averaged model code for C 4 F 8 /Ar plasma.
The model solves particle and power balance equations. The particle balance equation for each particle besides electrons, shown in Table 1, is as [33]
d n j d t = Q V vol 1 τ n j + i , k K i , k ( T e ) n i n k Γ j A eff V vol
where n j is the particle density for j species, V vol is the plasma volume, and Q is the input flow rate of feedstock gas, for instance, as for CF x and C x F y species, Q is the flow rate of C 4 F 8 , 80 sccm, and as for Ar species, Q is that of Ar, 20 sccm, τ is the residence time, K i , k ( T e ) is the reaction rate constant, Γ j is the particle flux to the wall, and A eff is the effective area of plasma. The τ is defined as [21]
τ = p V vol / Q tot
where T g is the gas temperature, p is the chamber pressure, Q tot is the total flow rate, and the A eff is defined as
A eff = 2 π R 2 h L + 2 π R L h R
where R is the plasma radius, and L is the discharge gap. Here, h L and h R are the edge-to-center ratio defined as [21]
h L = 0.86 1 + 3 α γ ( 1 + α ) 3 + L 2 λ i ,
and
h R = 0.80 1 + 3 α γ ( 1 + α ) 4 + R λ i ,
where α ( = n / n e ) is the electronegativity, n is the total negative ion density, γ ( = T e / T i ) is the temperature ratio, T i is the ion temperature, and λ i is the mean-free path. It is noted that electron density is governed not by the particle balance equations but by the quasi-neutrality condition of plasma as
n e = l n l m n m
where l and m mean the positive and negative ion species, respectively.
To calculate the reaction rate, we referred to various reactions for C 4 F 8 reactions [32,33,34], Argon [35], and C 4 F 8 -Ar reactions [36] combined into this model. Detailed reaction tables are represented in Table A1,Table A2,Table A3,Table A4,Table A5,Table A6,Table A7 and Table A8.
The model solves the power balance equation for electrons as
d d t 3 2 e n e T e = 1 V vol P abs P loss
where P abs is the input power and P loss is the loss power of electrons, defined as
P loss = P c + P ei
where P c is the electron–ion pair creation loss, defined as
P c = e n e V vol j n j i K dis j , i E dis j , i + k K iz j , k E iz j , k + K el 3 m e M j T e k B T g e ,
and P ei is the ion and electron kinetic power losses, defined as
P ei = e E ion + E e A eff j = ion n j u j
where E ion ( = V f + T e / ( 2 ( 1 + α ) ( 1 + α γ ) ) is the ion kinetic energy and E e ( = 2 T e ) is the average kinetic energy of electrons coming out of the plasma. The V f is the floating potential derived as the floating condition as
l n l u l = m n m v m exp V f T m
where l and m mean the positive and negative ion species, respectively, v m ( = 8 e T m / ( π M m ) ) is the thermal velocity, T m is the negative ion temperature, and M m is the negative ion mass.

3. Results and Discussion

3.1. Ion Energy Contribution on Etching Characteristics

At first, we investigated the contribution of ion energy on etching characteristics. Figure 4a–c and Figure 4d–f represent SEM images at the 200 nm and 60 nm line widths, respectively, with various V self s. Here, the etching time was fixed as 20 min for all cases. In these conditions, the electron density was fixed as shown in Figure 4g. At the 200 nm line width, the etch depth, marked as the arrow and dashed line in the SEM images, deepens with the V self . Because the ion flux is fixed, the result ensures that the ion energy can enhance the etching process.
However, it is noted that this enhancement deteriorates with the narrowing of the line width as shown in Figure 4h; the slope decreases as the line width narrows. There are two possible causes of the decrease in the ion bombardment effect: thick FC film formation by C 4 F 8 fragments, such as C x F y , and ion angle distribution. The FC film plays a role in protecting the SiO 2 from energetic ions [37,38]. However, a thin FC film (a few nano-meters) would be maintained during the etching process in this V self range; as the ion energy is high enough to make a high sputtering yield, this compensates the FC film deposition rate and a thin FC film forms [38,39]. In addition, the amount of C x F y species entering the trench decreases with the narrowing of the line width due to the shrinking of the trench entrance. It leads to the drop in the etch depth at the same ion energy shown in Figure 4h. Hence, the thick FC film formation is not realistic in this condition. Then, the ion angle distribution, the second reason, dominates the decrease in the enhancement. Ions accelerated in the sheath are able to collide with background gases, and their incident angle to the trench has an angle distribution depending on the pressure and sheath width [21]. Ions with a large incident angle are transported to the etch front inside the trench through multiple reflections on the side wall and then strike the etch front with lower kinetic energy, causing the decrease in the ion bombardment enhancement [40].
In addition, the etch stop occurs at the 60 nm line width despite the ion energy elevation as shown in Figure 4h. It implies that the increase in ion energy is not a perfect solution for the optimization of the HAR SiO 2 etching process. The etch stop in the HAR structure results from the charge accumulation on the narrow SiO 2 structure, leading to ion repelling and insufficient radicals provision. As the trench depth deepens, the charge accumulation on the SiO 2 surface increases. Moreover, the electric conductivity of the FC film decreases, which enhances the charge accumulation on the trench surface [41]. As for radicals provision, in this experiment, sufficient radicals species enter the trench because the trench width widens with increasing ion energy, as shown in Figure 4d–f. Furthermore, the radicals compositions remain unchanged due to constant n e with increasing ion energy. Hence, it is noted that ion energy enhances the etching process with C 4 F 8 /Ar, but in the HAR structure, the charge accumulation restricts its effect even in a sufficient radicals environment.
The trench entrance width enlarges with increasing ion energy regardless of the trench width. This entrance opening results from the angular dependence of the sputtering yield of the ACL. The sputtering yield has a peak near 70 of the incidence angle; it is formed by the balance between the increase in energy deposited on the surface by the incident ion and the decrease in the depth traveled by the sputtered atom [42]. As the edge of the trench entrance is rounded as shown in Figure 2, the etching rate of the entrance edge is larger than that of the side wall, leading to the entrance opening. Furthermore, the etching rate of the ACL mask abruptly elevates at 1270 V, whereas that of SiO 2 monotonically increases. The elevation differs with the general trend of etching yield dependence on incident ion energy; the etching yield is proportional to a square root of the ion energy [43]. As it is beyond the scope of this study, we retain it as future work. In summary, increasing ion energy in high V self allows to enlarge the feature size through the ACL mask opening and to lower the etching selectivity.

3.2. Ion Flux Contribution on Etching Characteristics

As for the ion flux contribution on the etching characteristics, Figure 5a–c and Figure 5d–f represent SEM images at 200 nm and 60 nm line widths, respectively, with various n e s. Here, the etching time was fixed as 20 min for all cases. In this condition, the V self was fixed as shown in Figure 5g. As shown in Figure 5h, the etch depth increases with increasing ion flux. Because the ion energy is fixed, the result ensures that the increase in the ion flux enhances the etching process. However, the slope drops over the ion flux. It results from the FC film formation due to the increase in the radicals density. Figure 6 shows the calculated radicals densities at various electron densities in the same pressure and gas mixture condition. Here, the electron density was adjusted with increasing discharge power. In SiO 2 etching with FC plasma, heavy radicals (C x F y ) play a role in the formation of a thick FC film [38,39]. As shown in Figure 6, heavy radicals are larger than light radicals (CF x ), and thus, a thick FC film deposition would be formed with increasing electron density.
Moreover, it is noted that the etch stop deteriorates; the etch stop occurs at a 500 nm etch depth in the ion energy-controlled regime, but the etch depth slightly increases with the increasing of the ion flux as shown in Figure 5h. The etch stop relaxation could correspond to the reduction in charge accumulation. The thicker FC film would prohibit charge accumulation inside the trench because it has conductivity, and thus, the etch stop can be moderated.
As for the trench width, it remains preserved with the increasing of the ion flux compared to the case of the ion energy increase. It results from the increase in the FC film, which plays a role in a passivation layer for the ACL. Furthermore, because the increase in the etching rate is monotonic, the etching selectivity remains constant. Hence, we can conclude that the increase in the ion flux allows to elevate the etching rate with preserving both the feature size and etching selectivity by providing a passivation layer on the ACL mask.

3.3. Comparison of Individual Contributions of Ion Flux and Energy on SiO 2 Etching Rate

To compare the contributions of the ion energy and flux, the etch depth over the parameter variation rate based on Figure 4 and Figure 5 is represented in Figure 7. Here, the zero in each condition is the reference condition shown in Figure 3. At 200 nm and 100 nm trench widths, one can find that the increase in the ion energy enhances the etching rate more than that in the ion flux with the same variation rates; the slope of the ion energy-controlled regimes is larger than that of the ion flux-controlled regime.
In addition, based on Figure 7, one can figure out the FC film effect. There is a clear gap between the etch depth of the ion energy and ion flux controlled at about a 40% variation rate for the 200 nm and 100 nm trench widths, as shown in Figure 7a,b. It implies the FC film effect is formed by heavy radicals. As the FC film plays a role in a passivation layer, it allows the decrease in the etch depth. Specifically, because the line width is proportional to the entrance area of the trench, the amount of radicals entering the trench is larger at the 200 nm width than the 100 nm width. As a result, it leads to the increase in the difference in the etch depth at the 200 nm width.
Moreover, the etch stop at the reference condition at the 60 nm trench width and its relaxation by increasing the ion flux can be clearly observed in Figure 7c. It implies that the increase in heavy radicals production is more effective to moderate the etch stop phenomenon than the increase in the ion energy in FC plasma.

4. Conclusions

This study investigated the contribution of ion energy and flux on HAR SiO 2 etching characteristics. We established the process window where the ion flux and energy are individually controlled. As a result, ion energy enhances the etching process, but in a high-aspect ratio structure, charge accumulation restricts its effect even in a sufficient radicals environment. We found that this charge accumulation can be released with increasing radicals species, leading to the slight increase in FC film formation having conductivity. Here, we estimated the density of radicals species such as C x F y and CF x with an in-house volume-averaged plasma model. The model exhibits the increase in radicals density, implying the increase in the FC film thickness. Furthermore, we found that the increase in the ion energy enhances the etching more than that in the ion flux with the same variation rate.

Author Contributions

Conceptualization, W.J.; validation, W.J., S.Y. and S.K.; formal analysis, W.J., Y.L., I.S., W.J., Y.Y., Y.S., J.L. and M.C.; writing—original draft preparation, C.C. and S.K.; writing—review and editing, S.Y., Y.L. and S.K.; supervision, S.Y. and S.K. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by a National Research Council of Science & Technology (NST) grant funded by the Korean government (MSIP) (CRF-20-01-NFRI) by the Next-Generation Intelligence Semiconductor R&D Program through the Korea Evaluation Institute of Industrial Technology (KEIT) funded by the Korean government (MOTIE), by the Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the MOTIE of the Republic of Korea (20202010100020), by the MOTIE (1415179040, 1415179069, 1415181071, 1415180221) and KSRC (Korea Semiconductor Research Consortium) (20009818, 20010420, 20019500, 20019473) support program for the development of future semiconductor devices, by a Korea Institute for Advancement of Technology (KIAT) grant funded by the Korean Government (MOTIE) (P0008458, HRD Program for Industrial Innovation), by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2020R1A6A1A03047771), by the KIMM Institutional Program (NK236F) and NST/KIMM, and by “Regional Innovation Strategy (RIS)” through the National Research Foundation of Korea(NRF) funded by the Ministry of Education(MOE)(2021RIS-004).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The authors declare no conflict of interest.

Appendix A

Reactions used in a volume-averaged plasma model are in Table A1,Table A2,Table A3,Table A4,Table A5,Table A6,Table A7 and Table A8.
Table A1. Argon-electron and Argon-Argon reaction set included in a volume-averaged plasma model.
Table A1. Argon-electron and Argon-Argon reaction set included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R1)e+Ar→e+Ar m 9.90  × 10 16 T e 0.08 exp 11.72 / T e m 3 s 1 [35]
(R2)e+Ar→e+Ar r 4.03  × 10 15 T e 0.45 exp 12.12 / T e m 3 s 1 [35]
(R3)e+Ar→e+Ar(4p)9.26  × 10 15 T e 0.06 exp 14.24 / T e m 3 s 1 [35]
(R4)e+Ar→2e+Ar + 2.39  × 10 14 T e 0.57 exp 17.43 / T e m 3 s 1 [35]
(R5)e+Ar m e+Ar2.25  × 10 16 T e 0.17 exp 1.65 / T e m 3 s 1 [35]
(R6)e+Ar m e+Ar s 3.70  × 10 13 m 3 s 1 [35]
(R7)e+Ar m e+Ar(4p)2.48  × 10 12 T e 0.16 exp 1.88 / T e m 3 s 1 [35]
(R8)e+Ar m 2e+Ar + 2.99  × 10 13 T e 0.22 exp 4.73 / T e m 3 s 1 [35]
(R9)e+Ar r e+Ar6.82  × 10 16 T e 0.44 exp 0.43 / T e m 3 s 1 [35]
(R10)e+Ar r e+Ar m 9.10  × 10 13 m 3 s 1 [35]
(R11)e+Ar r e+Ar(4p)2.48  × 10 12 T e 0.16 exp 1.79 / T e m 3 s 1 [35]
(R12)e+Ar r 2e+Ar + 3.28  × 10 13 T e 0.21 exp 4.51 / T e m 3 s 1 [35]
(R13)e+Ar(4p)→e+Ar2.97  × 10 16 T e 0.11 exp 1.38 / T e m 3 s 1 [35]
(R14)e+Ar(4p)→e+Ar m 4.16  × 10 13 T e 0.17 exp 0.32 / T e m 3 s 1 [35]
(R15)e+Ar(4p)→e+Ar r 4.16  × 10 13 T e 0.17 exp 0.32 / T e m 3 s 1 [35]
(R16)e+Ar(4p)→2e+Ar + 1.23  × 10 12 T e 0.25 exp 3.71 / T e m 3 s 1 [35]
(R17)Ar m +Ar m e+Ar + +Ar6.40  × 10 16 m 3 s 1 [35]
(R18)Ar m +Ar r e+Ar + +Ar2.10  × 10 15 m 3 s 1 [35]
(R19)Ar r +Ar r e+Ar + +Ar5.00  × 10 16 m 3 s 1 [35]
(R20)Ar(4p)+Ar(4p)→e+Ar + +Ar5.00  × 10 16 m 3 s 1 [35]
(R21)Ar(4p)+Ar m e+Ar + +Ar5.00  × 10 16 m 3 s 1 [35]
(R22)Ar(4p)+Ar r e+Ar + +Ar5.00  × 10 16 m 3 s 1 [35]
(R22)Ar r Ar+ h ν 1.00  × 10 5 s 1 [35]
(R23)Ar(4p)→ Ar+ h ν 3.20  × 10 7 s 1 [35]
(R24)Ar(4p)→ Ar m + h ν 3.00  × 10 7 s 1 [35]
(R25)Ar(4p)→ Ar r + h ν 3.00  × 10 7 s 1 [35]
(R26)Ar m +Ar m → Ar+Ar2.00  × 10 13 m 3 s 1 [35]
(R27)Ar m +Ar→ Ar+Ar2.10  × 10 21 m 3 s 1 [35]
Table A2. Argon-C x F y reaction set included in a volume-averaged plasma model.
Table A2. Argon-C x F y reaction set included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R28)Ar m +CF 2 → Ar+CF+F6.00  × 10 17 m 3 s 1 [36]
(R29)Ar r +CF 2 → Ar+CF+F6.00  × 10 17 m 3 s 1 [36]
(R30)Ar m +CF 3 → Ar+CF 2 +F6.00  × 10 17 m 3 s 1 [36]
(R31)Ar r +CF 3 → Ar+CF 2 +F6.00  × 10 17 m 3 s 1 [36]
(R32)Ar m +CF 4 → Ar+CF 2 +2F6.00  × 10 17 m 3 s 1 [36]
(R33)Ar r +CF 4 → Ar+CF 2 +2F6.00  × 10 17 m 3 s 1 [36]
(R34)Ar m +C 2 F 4 → Ar+2CF 2 4.00  × 10 17 m 3 s 1 [36]
(R35)Ar r +C 2 F 4 → Ar+2CF 2 4.00  × 10 17 m 3 s 1 [36]
(R36)Ar m +C 2 F 5 → Ar+C 2 F 5 4.00  × 10 17 m 3 s 1 [36]
(R37)Ar r +C 2 F 5 → Ar+C 2 F 5 4.00  × 10 17 m 3 s 1 [36]
(R38)Ar m +C 2 F 6 → Ar+2CF 3 4.00  × 10 17 m 3 s 1 [36]
(R39)Ar r +C 2 F 6 → Ar+2CF 3 4.00  × 10 17 m 3 s 1 [36]
(R40)Ar m +C 3 F 5 → Ar+C 3 F 5 4.00  × 10 17 m 3 s 1 [36]
(R41)Ar r +C 3 F 5 → Ar+C 3 F 5 4.00  × 10 17 m 3 s 1 [36]
(R42)Ar m +C 3 F 6 → Ar+C 3 F 6 4.00  × 10 17 m 3 s 1 [36]
(R43)Ar r +C 3 F 6 → Ar+C 3 F 6 4.00  × 10 17 m 3 s 1 [36]
(R44)Ar m +C 3 F 7 → Ar+C 3 F 7 4.00  × 10 17 m 3 s 1 [36]
(R45)Ar r +C 3 F 7 → Ar+C 3 F 7 4.00  × 10 17 m 3 s 1 [36]
(R46)Ar m +C 4 F 8 → Ar+2C 2 F 4 9.00  × 10 16 m 3 s 1 [36]
(R47)Ar r +C 4 F 8 → Ar+2C 2 F 4 9.00  × 10 16 m 3 s 1 [36]
(R48)Ar + +CF 2 → Ar+CF + +F5.00  × 10 16 m 3 s 1 [36]
(R49)Ar + +CF 3 → Ar+CF 2 + +F5.00  × 10 16 m 3 s 1 [36]
(R50)Ar + +CF 4 → Ar+CF 3 + +F4.80  × 10 16 m 3 s 1 [36]
(R51)Ar + +C 2 F 6 → Ar+CF 3 + +CF 3 5.00  × 10 16 m 3 s 1 [36]
(R52)Ar + +C 4 F 8 → Ar+CF 3 + +C 3 F 5 3.00  × 10 16 m 3 s 1 [36]
(R53)Ar + +C 4 F 8 → Ar+C 2 F 4 + +C 2 F 4 3.00  × 10 16 m 3 s 1 [36]
(R54)Ar + +C 4 F 8 → Ar+CF + +C 3 F 7 1.00  × 10 16 m 3 s 1 [36]
(R55)Ar + +CF 3 → Ar+CF 3 2.00  × 10 13 m 3 s 1 [36]
(R56)Ar + +C 4 F 8 → Ar+C 4 F 8 9.00  × 10 14 m 3 s 1 [36]
(R57)Ar + +F → Ar+F2.00  × 10 13 m 3 s 1 [36]
Table A3. C x F y electron impact dissociation reaction set included in a volume-averaged plasma model.
Table A3. C x F y electron impact dissociation reaction set included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R58)e+C 4 F 8 → e+2C 2 F 4 0.9 × 9.580 × 10 14 T e 0.04153 exp 8.572 / T e m 3 s 1 [34]
(R59)e+C 4 F 8 → e+C 3 F 6 +CF 2 0.1 × 9.580 × 10 14 T e 0.04153 exp 8.572 / T e m 3 s 1 [34]
(R60)e+C 4 F 7 → e+C 2 F 4 +C 2 F 3 5.70  × 10 14 T e 0.28 exp 8.0 / T e m 3 s 1 [34]
(R61)e+C 3 F 7 → e+C 2 F 4 +CF 3 1.8  × 10 14 T e 0.52 exp 12.3 / T e m 3 s 1 [34]
(R62)e+C 3 F 6 → e+C 2 F 3 +CF 3 1.8  × 10 14 T e 0.52 exp 12.3 / T e m 3 s 1 [34]
(R63)e+C 3 F 6 → e+C 2 F 4 +CF 2 1.07  × 10 14 T e 0.23 exp 7.451 / T e m 3 s 1 [34]
(R64)e+C 3 F 5 → e+C 2 F 3 +CF 2 1.707  × 10 14 T e 0.23 exp 7.451 / T e m 3 s 1 [34]
(R65)e+C 3 F 5 → e+C 2 F 4 +CF1.8  × 10 14 T e 0.52 exp 12.3 / T e m 3 s 1 [34]
(R66)e+C 2 F 6 → e+2CF 3 8.55  × 10 14 T e 0.45 exp 19.4 / T e m 3 s 1 [34]
(R67)e+C 2 F 5 → e+CF 3 +CF 2 8.55  × 10 14 T e 0.45 exp 19.4 / T e m 3 s 1 [34]
(R68)e+C 2 F 4 → e+2CF 2 5.22  × 10 12 T e 1.3815 exp 14.27 / T e m 3 s 1 [34]
(R69)e+C 2 F 3 → e+CF 2 +CF1.00  × 10 14 T e 0.91 exp 5.0 / T e m 3 s 1 [34]
(R70)e+CF 4 → e+CF+F 2 +F4.40  × 10 16 T e 0.84 exp 24.65 / T e m 3 s 1 [34]
(R71)e+CF 4 → e+CF 3 +F1.369  × 10 16 T e 2.048 exp 7.557 / T e m 3 s 1 [34]
(R72)e+CF 4 → e+CF 2 +2F1.359  × 10 18 T e 1.693 exp 13.104 / T e m 3 s 1 [33]
(R73)e+CF 4 → e+CF+3F8.215  × 10 17 T e 0.277 exp 27.151 / T e m 3 s 1 [33]
(R74)e+CF 3 → e+CF 2 +F3.257  × 10 15 T e 0.6906 exp 3.65 / T e m 3 s 1 [33]
(R75)e+CF 2 → e+CF+F3.257  × 10 15 T e 0.6906 exp 5.39 / T e m 3 s 1 [33]
(R76)e+CF 2 (s)→ e+CF+F19.12  × 10 16 T e 1.496 exp 7.238 / T e m 3 s 1 [33]
(R77)e+CF 2 → e+C+2F1.39  × 10 14 T e 1.164 exp 49.873 / T e m 3 s 1 [34]
(R78)e+CF→ e+C+F5.633  × 10 14 T e 1.318 exp 7.158 / T e m 3 s 1 [33]
(R79)e+CF(s)→ e+C+F5.633  × 10 14 T e 1.318 exp 4.248 / T e m 3 s 1 [33]
(R80)e+F 2 → e+F+F4.983  × 10 15 T e 0.4 exp 3.947 / T e m 3 s 1 [33]
(R81)e+F 2 → e+F+F 5.812  × 10 15 T e 0.062 exp 11.498 / T e m 3 s 1 [33]
Table A4. C x F y electron impact ionization reaction set included in a volume-averaged plasma model.
Table A4. C x F y electron impact ionization reaction set included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R82)e+C 4 F 8 → 2e+C 3 F 5 + +CF 3 6.655  × 10 14 T e 0.4095 exp 18.71 / T e m 3 s 1 [34]
(R83)e+C 4 F 8 → 2e+C 3 F 5 + +CF 2 +F6.655  × 10 14 T e 0.4095 exp 18.71 / T e m 3 s 1 [34]
(R84)e+C 4 F 8 → 2e+C 2 F 4 + +C 2 F 4 5.698  × 10 14 T e 0.470 exp 17.48 / T e m 3 s 1 [34]
(R85)e+C 4 F 8 → 2e+CF 3 + +C 3 F 5 2.688  × 10 14 T e 0.379 exp 22.30 / T e m 3 s 1 [34]
(R86)e+C 4 F 8 → 2e+CF 2 + +C 3 F 6 4.840  × 10 14 T e 0.02637 exp 27.03 / T e m 3 s 1 [34]
(R87)e+C 4 F 8 → 2e+CF + +C 3 F 7 0.5  × 1.279 × 10 14 T e 0.654 exp 20.61 / T e m 3 s 1 [34]
(R88)e+C 4 F 8 → 2e+CF + +C 3 F 6 +F0.5  × 1.279 × 10 14 T e 0.654 exp 20.61 / T e m 3 s 1 [34]
(R89)e+C 4 F 8 → 2e+F + +C 4 F 7 2.23  × 1.279 × 10 14 T e 1.34 exp 38.6 / T e m 3 s 1 [34]
(R90)e+C 4 F 7 → 2e+C 4 F 7 + 1.4  × 10 14 T e 0.68 exp 10.6 / T e m 3 s 1 [34]
(R91)e+C 3 F 6 → 2e+C 3 F 6 + 1.4  × 10 14 T e 0.68 exp 10.6 / T e m 3 s 1 [34]
(R92)e+C 3 F 6 → 2e+C 3 F 5 + +F3.025  × 10 15 T e 0.874 exp 16.41 / T e m 3 s 1 [34]
(R93)e+C 3 F 5 → 2e+C 3 F 6 + 3.583  × 10 15 T e 0.661 exp 11.06 / T e m 3 s 1 [34]
(R94)e+C 2 F 6 → 2e+CF 3 + +CF 3 4.83  × 10 14 T e 0.61 exp 19.47 / T e m 3 s 1 [34]
(R95)e+C 2 F 5 → 2e+C 2 F 5 + 1.435  × 10 14 T e 0.39 exp 15.38 / T e m 3 s 1 [34]
(R96)e+C 2 F 5 → 2e+CF 3 + +CF 2 6.01  × 10 14 T e 0.30 exp 19.15 / T e m 3 s 1 [34]
(R97)e+C 2 F 4 → 2e+C 2 F 4 + 3.583  × 10 15 T e 0.661 exp 11.06 / T e m 3 s 1 [34]
(R98)e+C 2 F 4 → 2e+C 2 F 3 + +F3.025  × 10 15 T e 0.874 exp 16.41 / T e m 3 s 1 [34]
(R99)e+C 2 F 4 → 2e+CF 2 + +CF 2 1.253  × 10 16 T e 1.514 exp 9.053 / T e m 3 s 1 [34]
(R100)e+C 2 F 4 → 2e+CF + +CF 3 5.874  × 10 15 T e 0.619 exp 19.29 / T e m 3 s 1 [34]
(R101)e+C 2 F 3 → 2e+C 2 F 3 + 3.583  × 10 15 T e 0.661 exp 11.06 / T e m 3 s 1 [34]
(R102)e+CF 4 → 2e+CF 3 + +F1.083  × 10 14 T e 0.969 exp 17.803 / T e m 3 s 1 [33]
(R103)e+CF 4 → 2e+CF 2 + +2F3.310  × 10 16 T e 1.365 exp 18.373 / T e m 3 s 1 [33]
(R104)e+CF 4 → 2e+CF + +3F7.171  × 10 19 T e 3.453 exp 14.244 / T e m 3 s 1 [33]
(R105)e+CF 4 → 2e+F + +CF31.297  × 10 18 T e 2.786 exp 18.557 / T e m 3 s 1 [33]
(R106)e+CF 4 → 2e+C + +4F9.154  × 10 20 T e 3.847 exp 12.082 / T e m 3 s 1 [33]
(R107)e+CF 4 → 3e+CF 3 + +F + 5.611  × 10 17 T e 1.157 exp 37.455 / T e m 3 s 1 [33]
(R108)e+CF 4 → 3e+CF 2 + +F + +F8.207  × 10 17 T e 1.163 exp 42.737 / T e m 3 s 1 [33]
(R109)e+CF 4 → 3e+CF + +F + +2F5.217  × 10 17 T e 1.52 exp 47.145 / T e m 3 s 1 [33]
(R110)e+CF 4 → 3e+C + +F + +3F4.075  × 10 17 T e 1.353 exp 61.618 / T e m 3 s 1 [33]
(R111)e+CF 3 → 2e+CF 3 + 1.427  × 10 15 T e 0.838 exp 9.549 / T e m 3 s 1 [33]
(R112)e+CF 3 → 2e+CF 2 + +F8.362  × 10 15 T e 0.444 exp 17.368 / T e m 3 s 1 [33]
(R113)e+CF 3 → 2e+CF + +2F5.257  × 10 15 T e 0.555 exp 21.232 / T e m 3 s 1 [33]
(R114)e+CF 3 → 2e+F + +CF 2 2.669  × 10 15 T e 0.820 exp 27.018 / T e m 3 s 1 [33]
(R115)e+CF 2 → 2e+CF 2 + 4.330  × 10 15 T e 0.775 exp 9.485 / T e m 3 s 1 [33]
(R116)e+CF 2 → 2e+CF + +F6.032  × 10 15 T e 0.497 exp 14.539 / T e m 3 s 1 [33]
(R117)e+CF 2 → 3e+CF + +F + 3.176  × 10 14 T e 0.111 exp 31.314 / T e m 3 s 1 [33]
(R118)e+CF 2 → 2e+CF+F + 1.588  × 10 14 T e 0.111 exp 31.314 / T e m 3 s 1 [33]
(R119)e+CF→ 2e+CF + 4.295  × 10 15 T e 0.80 exp 11.541 / T e m 3 s 1 [33]
(R120)e+CF→ 2e+C + +F + 1.985  × 10 14 T e 0.111 exp 31.314 / T e m 3 s 1 [33]
(R121)e+F 2 → 2e+F 2 + 6.710  × 10 16 T e 1.24 exp 16.822 / T e m 3 s 1 [33]
(R122)e+C→ 2e+C + 3.692  × 10 15 T e 1.182 exp 9.332 / T e m 3 s 1 [33]
(R123)e+CF 2 ( 3 B 1 ) → 2e+CF 2 + 4.330  × 10 15 T e 0.775 exp 7.235 / T e m 3 s 1 [33]
(R124)e+CF 2 ( 3 B 1 ) → 2e+CF + +F6.032  × 10 15 T e 0.497 exp 12.289 / T e m 3 s 1 [33]
(R125)e+CF 2 ( 3 B 1 ) → 3e+CF + +F + 3.176  × 10 14 T e 0.111 exp 29.064 / T e m 3 s 1 [33]
(R126)e+CF 2 ( 3 B 1 ) → 2e+CF+F + 1.588  × 10 14 T e 0.111 exp 29.064 / T e m 3 s 1 [33]
(R127)e+CF ( a 4 Σ ) → 2e+CF + 4.295  × 10 15 T e 0.8 exp 8.631 / T e m 3 s 1 [33]
(R128)e+CF ( a 4 Σ ) → 2e+C+F + 1.985  × 10 14 T e 0.111 exp 28.404 / T e m 3 s 1 [33]
(R129)e+F 2 → e+F + +F 9.432  × 10 17 T e 1.341 exp 17.322 / T e m 3 s 1 [33]
(R130)e+F→ e+F + 4.711  × 10 16 T e 1.411 exp 12.618 / T e m 3 s 1 [33]
Table A5. C x F y attachment and detachment reaction sets included in a volume-averaged plasma model.
Table A5. C x F y attachment and detachment reaction sets included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R131)e+C 4 F 8 → C 4 F 8 2.960  × 10 17 T e 1.328 exp 0.2344 / T e m 3 s 1 [34]
(R132)e+C 4 F 8 → F +C 4 F 7 0.5 × 2.789 × 10 15 T e 1.277 exp 5.392 / T e m 3 s 1 [34]
(R133)e+C 4 F 8 → F +C 3 F 6 +F0.5 × 2.789 × 10 15 T e 1.277 exp 5.392 / T e m 3 s 1 [34]
(R134)e+C 2 F 6 → CF 3 +CF 3 0.8 × 6.82 × 10 15 T e 1.41 exp 4.05 / T e m 3 s 1 [34]
(R135)e+C 2 F 6 → CF +C 2 F 5 0.2 × 6.82 × 10 15 T e 1.41 exp 4.05 / T e m 3 s 1 [34]
(R136)e+C 2 F 5 → CF 3 +CF 2 6.82  × 10 15 T e 1.41 exp 4.05 / T e m 3 s 1 [34]
(R137)e+CF 4 → CF 3 +F 1.086  × 10 15 T e 1.336 exp 6.691 / T e m 3 s 1 [33]
(R138)e+CF 4 → CF 2 +F 2 1.960  × 10 17 T e 1.300 exp 6.247 / T e m 3 s 1 [33]
(R139)e+CF 4 → CF 3 +F2.545  × 10 16 T e 1.374 exp 7.12 / T e m 3 s 1 [33]
(R140)e+CF 4 → e+CF 3 + +F 4.086  × 10 19 T e 0.65 exp 16.738 / T e m 3 s 1 [33]
(R141)e+CF 4 → e+CF 2 + +F +F2.567  × 10 19 T e 0.412 exp 21.866 / T e m 3 s 1 [33]
(R142)e+CF 4 → e+CF + +F +2F8.439  × 10 19 T e 0.723 exp 21.994 / T e m 3 s 1 [33]
(R143)e+CF 4 → e+F + +F +CF 2 5.087  × 10 19 T e 0.636 exp 27.714 / T e m 3 s 1 [33]
(R144)e+CF 4 → e+C + +F +3F2.395  × 10 18 T e 0.42 exp 28.416 / T e m 3 s 1 [33]
(R145)e+CF 4 → e+CF 2 + +F 2 3.691  × 10 19 T e 0.051 exp 10.163 / T e m 3 s 1 [33]
(R146)e+CF 3 → e+CF 2 +F 1.603  × 10 16 T e 0.4289 exp 0.18 / T e m 3 s 1 [44]
(R147)e+CF 2 → F +CF3.0  × 10 17 m 3 s 1 [34]
(R148)e+CF→ F +C3.0  × 10 17 m 3 s 1 [34]
(R149)e+F 2 → 2e+F 2 6.186  × 10 16 T e 0.535 exp 11.092 / T e m 3 s 1 [44]
(R150)e+F 2 → F+F 1.124  × 10 15 T e 1.475 exp 0.535 / T e m 3 s 1 [33]
(R151)e+F → 2e+F3.047  × 10 14 T e 0.413 exp 11.167 / T e m 3 s 1 [44]
(R152)C 4 F 8 → e+C 4 F 8 2.0  × 10 6 s 1 [34]
(R153)CF 3 +CF 3 → e+C 2 F 6 1.0  × 10 16 m 3 s 1 [34]
(R154)F +CF 4 → e+CF 4 2.132  × 10 14 × T i 1.131 exp 1.448 / T i m 3 s 1 [33]
(R155)F +CF 3 → e+CF 4 5.0  × 10 16 m 3 s 1 [33]
(R156)F +CF 2 → e+CF 3 5.0  × 10 16 m 3 s 1 [33]
(R157)F +CF→ e+CF 2 5.0  × 10 16 m 3 s 1 [33]
(R158)F +C→ e+CF1.0  × 10 16 m 3 s 1 [33]
(R159)F +F→ e+F 2 1.0  × 10 16 m 3 s 1 [33]
(R160)F +F→ e+2F1.0  × 10 16 m 3 s 1 [33]
(R161)F 2 +CF 4 → e+F 2 +CF 4 5.0  × 10 16 m 3 s 1 [33]
(R162)F 2 +CF 3 → e+F 2 +CF 3 5.0  × 10 16 m 3 s 1 [33]
(R163)F 2 +F→ e+F 2 +F1.0  × 10 16 m 3 s 1 [33]
Table A6. C x F y recombination reaction sets included in a volume-averaged plasma model.
Table A6. C x F y recombination reaction sets included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R164)e+C 4 F 7 + → C 2 F 4 +C 2 F 3 8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R165)e+C 3 F 7 + → C 2 F 4 +CF 3 8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R166)e+C 3 F 6 + → C 2 F 4 +CF 2 8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R167)e+C 3 F 5 + → C 2 F 3 +CF 2 8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R168)e+C 2 F 5 + → CF 3 +CF 2 8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R169)e+C 2 F 4 + → 2CF 2 8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R170)e+C 2 F 3 + → CF 2 +CF8.0  × 10 14 T e 0.5 m 3 s 1 [34]
(R171)e+CF 3 + → CF 3 3.95  × 10 15 T e 0.5 T i 1.0 m 3 s 1 [33]
(R172)e+CF 3 + → CF 2 +F4.475  × 10 15 T e 1.441 exp 0.41 / T e m 3 s 1 [33]
(R173)e+CF 3 + → CF+2F1.119  × 10 15 T e 1.441 exp 0.41 / T e m 3 s 1 [33]
(R174)e+CF 2 + → CF 2 6.00  × 10 14 m 3 s 1 [33]
(R175)e+CF 2 + → CF+F8.376  × 10 15 T e 1.496 exp 0.172 / T e m 3 s 1 [33]
(R176)e+CF 2 + → C+2F3.421  × 10 15 T e 1.496 exp 0.172 / T e m 3 s 1 [33]
(R177)e+CF + → CF5.20  × 10 14 (T e / 0.026 ) 0.8 m 3 s 1 [33]
(R178)e+F 2 + → 2F3.21  × 10 14 T e 0.5 m 3 s 1 [33]
(R179)e+F 2 → 2e+2F1.354  × 10 14 T e 0.484 exp 0.2 . 178 / T e m 3 s 1 [33]
(R180)C 4 F 7 +F→ 2C 2 F 4 1.0  × 10 17 m 3 s 1 [34]
(R181)C 3 F 6 +F 2 → C 3 F 7 +F3.5  × 10 22 m 3 s 1 [34]
(R182)C 3 F 6 +F→ C 3 F 7 1.0  × 10 18 m 3 s 1 [34]
(R183)C 2 F 5 +F→ 2CF 3 1.0  × 10 17 m 3 s 1 [34]
(R184)C 2 F 4 +F 2 → C 2 F 5 +F3.5  × 10 22 m 3 s 1 [34]
(R185)C 2 F 4 +F→ CF 3 +CF 2 4.8  × 10 17 m 3 s 1 [34]
(R186)C 2 F 4 +C→ C 2 F 3 +CF1.91  × 10 16 m 3 s 1 [34]
(R187)C 2 F 3 +F→ C 2 F F 1.0  × 10 18 m 3 s 1 [34]
(R188)CF 3 +CF 3 +M→ C 2 F 6 +M3.94  × 10 41 m 6 s 1 [34]
(R189)CF 3 +CF 3 → C 2 F 6 8.30  × 10 18 m 3 s 1 [34]
(R190)CF 3 +CF 2 → C 2 F 5 1.00  × 10 18 m 3 s 1 [34]
(R191)CF 3 +F 2 → CF 4 +F1.90  × 10 20 m 3 s 1 [34]
(R192)CF 3 +F→ CF 4 2.00  × 10 17 m 3 s 1 [34]
(R193)CF 2 +CF 2 → C 2 F 4 7.21  × 10 20 m 3 s 1 [34]
(R194)CF 2 +F 2 → CF 3 +F8.30  × 10 20 m 3 s 1 [34]
(R195)CF 2 +F→ CF 3 1.80  × 10 17 m 3 s 1 [34]
(R196)CF+F→ CF 2 9.96  × 10 17 m 3 s 1 [34]
(R197)C 4 F 7 + +C 4 F 8 → C 4 F 7 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R198)C 3 F 7 + +C 4 F 8 → C 3 F 7 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R199)C 3 F 6 + +C 4 F 8 → C 3 F 6 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R200)C 3 F 5 + +C 4 F 8 → C 3 F 5 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R201)C 2 F 5 + +C 4 F 8 → C 2 F 5 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R202)C 2 F 4 + +C 4 F 8 → C 2 F 4 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R203)C 2 F 3 + +C 4 F 8 → C 2 F 3 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R204)CF 3 + +C 4 F 8 → CF 3 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R205)CF 2 + +C 4 F 8 → CF 2 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R206)F 2 + +C 4 F 8 → F 2 +C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R207)F + +C 4 F 8 → F+C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R208)C + +C 4 F 8 → C+C 4 F 8 1.0  × 10 13 m 3 s 1 [34]
(R209)C 4 F 7 + +CF 3 → C 4 F 7 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R210)C 3 F 7 + +CF 3 → C 3 F 7 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R211)C 3 F 6 + +CF 3 → C 3 F 6 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R212)C 3 F 6 + +CF 3 → C 3 F 6 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R213)C 2 F 5 + +CF 3 → C 2 F 5 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R214)C 2 F 4 + +CF 3 → C 2 F 4 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R215)C 2 F 3 + +CF 3 → C 2 F 3 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R216)C 4 F 7 + +F 2 → C 4 F 7 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R217)C 3 F 7 + +F 2 → C 3 F 7 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R218)C 3 F 6 + +F 2 → C 3 F 6 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R219)C 3 F 5 + +F 2 → C 3 F 5 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R220)C 2 F 5 + +F 2 → C 2 F 5 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R221)C 2 F 4 + +F 2 → C 2 F 4 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R222)C 2 F 3 + +F 2 → C 2 F 3 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R223)CF 2 + +F 2 → CF 2 +F 2 1.0  × 10 13 m 3 s 1 [34]
(R224)CF + +F 2 → CF+F 2 1.0  × 10 13 m 3 s 1 [34]
(R225)F 2 + +F 2 → 2F 2 1.0  × 10 13 m 3 s 1 [34]
(R226)F + +F 2 → F+F 2 1.0  × 10 13 m 3 s 1 [34]
(R227)C + +F 2 → C+F 2 1.0  × 10 13 m 3 s 1 [34]
(R228)C 4 F 7 + +F → C 4 F 7 +F1.0  × 10 13 m 3 s 1 [34]
(R229)C 3 F 7 + +F → C 3 F 7 +F1.0  × 10 13 m 3 s 1 [34]
(R230)C 3 F 6 + +F → C 3 F 6 +F1.0  × 10 13 m 3 s 1 [34]
(R231)C 3 F 5 + +F → C 3 F 5 +F1.0  × 10 13 m 3 s 1 [34]
(R232)C 2 F 5 + +F → C 2 F 5 +F1.0  × 10 13 m 3 s 1 [34]
(R233)C 2 F 4 + +F → C 2 F 4 +F1.0  × 10 13 m 3 s 1 [34]
(R234)C 2 F 3 + +F → C 2 F 3 +F1.0  × 10 13 m 3 s 1 [34]
(R235)C 4 F 7 + +F → C 3 F 5 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R236)C 3 F 7 + +F → C 2 F 6 +CF 2 1.0  × 10 13 m 3 s 1 [34]
(R237)C 3 F 6 + +F → C 2 F 4 +CF 3 1.0  × 10 13 m 3 s 1 [34]
(R238)C 3 F 5 + +F → C 2 F 4 +CF 2 1.0  × 10 13 m 3 s 1 [34]
(R239)C 2 F 4 + +F → CF 2 +CF+F 2 1.0  × 10 13 m 3 s 1 [34]
(R240)CF 3 + +F → CF 2 +2F1.0  × 10 13 m 3 s 1 [34]
(R241)CF 3 +CF 3 + → 2CF 3 1.0  × 10 13 m 3 s 1 [33]
(R242)CF 3 +CF 2 + → CF 3 +CF 2 5.0  × 10 13 m 3 s 1 [33]
(R243)CF 3 +CF + → CF 3 +CF2.0  × 10 13 m 3 s 1 [33]
(R244)CF 3 +C + → CF 3 +C3.0  × 10 13 m 3 s 1 [33]
(R245)CF 3 +F 2 + → CF 3 +F 2 2.0  × 10 13 m 3 s 1 [33]
(R246)CF 3 +F + → CF 3 +F2.5  × 10 13 m 3 s 1 [33]
(R247)F +CF 3 + → CF 4 5.0  × 10 14 m 3 s 1 [33]
(R248)F +CF 3 + → CF 3 +F1.0  × 10 14 m 3 s 1 [33]
(R249)F +CF 3 + → CF 2 +F 2 8.7  × 10 14 m 3 s 1 [33]
(R250)F +CF 2 + → CF+F 2 9.1  × 10 14 m 3 s 1 [33]
(R251)F +CF 2 + → CF 2 +F5.0  × 10 13 m 3 s 1 [33]
(R252)F +CF + → CF+F4.0  × 10 13 m 3 s 1 [33]
(R253)F +C + → CF1.2  × 10 13 m 3 s 1 [33]
(R254)F +F 2 + → F+F 2 9.4  × 10 14 m 3 s 1 [33]
(R255)F +F + → 2F1.1  × 10 13 m 3 s 1 [33]
(R256)F 2 +CF 3 + → F 2 +CF 3 1.0  × 10 13 m 3 s 1 [33]
Table A7. C x F y excitation reaction sets included in a volume-averaged plasma model.
Table A7. C x F y excitation reaction sets included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R257)e+CF 2 → e+CF 2 ( 3 B 1 ) 6.231  × 10 14 T e 1.037 exp 3.964 / T e m 3 s 1 [33]
(R258)e+CF 2 ( 3 B 1 ) → e+CF 2 ( 1 B 1 ) 3.528  × 10 13 T e 0.877 exp 8.044 / T e m 3 s 1 [33]
(R259)e+CF 2 → e+CF 2 ( 1 B 1 ) 3.528  × 10 13 T e 0.877 exp 10.254 / T e m 3 s 1 [33]
(R260)e+CF→ e+CF ( a 4 Σ ) 6.132  × 10 14 T e 1.173 exp 4.896 / T e m 3 s 1 [33]
(R261)e+F 2 → e+F 2 ( v ) 2.586  × 10 13 T e 1.446 exp 0.889 / T e m 3 s 1 [33]
(R262)e+F 2 → e+F 2 ( C 1 Σ u ) 3.929  × 10 15 T e 0.665 exp 11.842 / T e m 3 s 1 [33]
(R263)e+F 2 → e+F 2 ( H 1 Π u ) 9.616  × 10 16 T e 0.344 exp 17.274 / T e m 3 s 1 [33]
(R264)e+F→ e+F(3s 4 P)1.667  × 10 15 T e 0.581 exp 12.851 / T e m 3 s 1 [33]
(R265)e+F→ e+F(3s 2 P)8.522  × 10 16 T e 0.386 exp 12.351 / T e m 3 s 1 [33]
(R266)e+F→ e+F(3p 4 P)4.113  × 10 16 T e 0.42 exp 14.261 / T e m 3 s 1 [33]
(R267)e+F→ e+F(3p 4 D)4.014  × 10 16 T e 0.372 exp 14.629 / T e m 3 s 1 [33]
(R268)e+F→ e+F(3p 4 D)3.305  × 10 16 T e 0.014 exp 14.062 / T e m 3 s 1 [33]
(R269)e+F→ e+F(3p 2 S)2.080  × 10 16 T e 0.859 exp 15.269 / T e m 3 s 1 [33]
(R270)e+F→ e+F(3p 4 S)6.323  × 10 17 T e 0.25 exp 14.703 / T e m 3 s 1 [33]
(R271)e+F→ e+F(3s 2 P)6.192  × 10 16 T e 0.133 exp 14.31 / T e m 3 s 1 [33]
(R272)e+F→ e+F(3s 2 D)5.479  × 10 16 T e 0.181 exp 14.558 / T e m 3 s 1 [33]
(R273)e+F→ e+F(4s 2 D)2.212  × 10 16 T e 0.387 exp 15.625 / T e m 3 s 1 [33]
(R274)e+F→ e+F(4s 2 P)1.052  × 10 16 T e 0.42 exp 14.83 / T e m 3 s 1 [33]
(R275)e+F→ e+F(3d 4 D)7.268  × 10 17 T e 0.475 exp 15.634 / T e m 3 s 1 [33]
(R276)e+F→ e+F(3d 2 D)5.733  × 10 17 T e 0.474 exp 14.599 / T e m 3 s 1 [33]
(R277)e+F→ e+F(3d 4 F)7.340  × 10 17 T e 0.556 exp 15.986 / T e m 3 s 1 [33]
(R278)e+F→ e+F(3d 2 F)7.253  × 10 17 T e 0.252 exp 15.543 / T e m 3 s 1 [33]
(R279)e+F→ e+F(3d 4 P)4.668  × 10 17 T e 0.819 exp 16.014 / T e m 3 s 1 [33]
(R280)e+F→ e+F(3d 2 P)2.008  × 10 17 T e 0.297 exp 14.749 / T e m 3 s 1 [33]
(R281)e+F→ e+F(4p 4 P)1.101  × 10 16 T e 0.412 exp 16.132 / T e m 3 s 1 [33]
(R282)e+F→ e+F(4p 4 D)1.514  × 10 16 T e 0.467 exp 16.207 / T e m 3 s 1 [33]
(R283)e+F→ e+F(4p 2 D)1.068  × 10 16 T e 0.052 exp 15.789 / T e m 3 s 1 [33]
(R284)e+F→ e+F(4p 2 S)8.102  × 10 17 T e 0.906 exp 16.669 / T e m 3 s 1 [33]
(R285)e+F→ e+F(4p 4 S)2.723  × 10 17 T e 0.246 exp 16.014 / T e m 3 s 1 [33]
(R286)e+F→ e+F(4p 2 P)2.333  × 10 16 T e 0.033 exp 15.86 / T e m 3 s 1 [33]
(R287)e+F→ e+F(5s 4 P)2.923  × 10 16 T e 0.656 exp 17.789 / T e m 3 s 1 [33]
(R288)e+F→ e+F(5s 4 P)9.073  × 10 17 T e 0.249 exp 16.566 / T e m 3 s 1 [33]
(R289)e+C→ e+C(2s 2 2p 2   1 D)3.315  × 10 14 T e 0.498 exp 1.995 / T e m 3 s 1 [33]
(R290)e+C→ e+C(2s 2 2p 2   1 S)4.900  × 10 15 T e 0.584 exp 3.462 / T e m 3 s 1 [33]
(R291)e+C→ e+C(2s 2 2p 3   5 S o )3.831  × 10 14 T e 0.813 exp 5.057 / T e m 3 s 1 [33]
(R292)e+C→ e+C(2s 2 2p 3 s 3 P o )4.751  × 10 15 T e 0.303 exp 6.984 / T e m 3 s 1 [33]
(R293)e+C→ e+C(2s 2 2p 3 s 1 P o )1.952  × 10 15 T e 0.682 exp 8.019 / T e m 3 s 1 [33]
(R294)e+C→ e+C(2s 2 2p 3   3 D o )1.577  × 10 14 T e 0.036 exp 8.038 / T e m 3 s 1 [33]
(R295)e+C→ e+C(2s 2 2p 3 p 1 P)1.158  × 10 15 T e 0.52 exp 9.008 / T e m 3 s 1 [33]
(R296)e+C→ e+C(2s 2 2p 3 p 3 D)3.160  × 10 15 T e 0.176 exp 8.537 / T e m 3 s 1 [33]
(R297)e+C→ e+C(2s 2 2p 3 p 3 S)8.294  × 10 16 T e 0.534 exp 8.762 / T e m 3 s 1 [33]
(R298)e+C→ e+C(2s 2 2p 3 p 3 P)3.872  × 10 15 T e 0.026 exp 8.691 / T e m 3 s 1 [33]
(R299)e+C→ e+C(2s 2 2p 3 p 1 D)1.022  × 10 15 T e 0.469 exp 9.391 / T e m 3 s 1 [33]
(R300)e+C→ e+C(2s 2 2p 3 p 1 S)1.043  × 10 16 T e 0.45 exp 9.048 / T e m 3 s 1 [33]
(R301)e+C→ e+C(2s 2 2p 3 p 3 P o )8.132  × 10 15 T e 0.036 exp 9.942 / T e m 3 s 1 [33]
(R302)e+C→ e+C(2s 2 2p 3 p 1 D o )2.119  × 10 16 T e 0.295 exp 9.836 / T e m 3 s 1 [33]
(R303)e+C→ e+C(2s 2 2p 4 s 3 P o )1.248  × 10 15 T e 0.106 exp 9.574 / T e m 3 s 1 [33]
(R304)e+C→ e+C(2s 2 2p 3 d 3 F o )7.560  × 10 16 T e 0.208 exp 9.620 / T e m 3 s 1 [33]
(R305)e+C→ e+C(2s 2 2p 3 d 3 D o )6.876  × 10 16 T e 0.578 exp 8.874 / T e m 3 s 1 [33]
(R306)e+C→ e+C(2s 2 2p 4 s 1 P o )3.275  × 10 16 T e 0.589 exp 9.982 / T e m 3 s 1 [33]
(R307)e+C→ e+C(2s 2 2p 3 d 1 F o )2.141  × 10 16 T e 0.504 exp 10.083 / T e m 3 s 1 [33]
(R308)e+C→ e+C(2s 2 2p 3 d 1 P o )2.396  × 10 16 T e 0.687 exp 9.973 / T e m 3 s 1 [33]
(R309)e+C→ e+C(2s 2 2p 3   1 D)1.315  × 10 16 T e 0.594 exp 10.517 / T e m 3 s 1 [33]
(R310)e+C→ e+C(2s 2 2p 3   3 S)3.495  × 10 16 T e 0.324 exp 10.193 / T e m 3 s 1 [33]
(R311)e+C→ e+C(2s 2 2p 3   1 P)7.857  × 10 17 T e 0.458 exp 10.141 / T e m 3 s 1 [33]
(R312)e+C→ e+C(2s 2 2p 3   3 P)4.134  × 10 16 T e 0.112 exp 10.168 / T e m 3 s 1 [33]
(R313)e+C→ e+C(2s 2 2p 3   1 D)1.388  × 10 16 T e 0.567 exp 10.703 / T e m 3 s 1 [33]
(R314)e+C→ e+C(2s 2 2p 3   1 S)1.628  × 10 17 T e 0.538 exp 10.681 / T e m 3 s 1 [33]
Table A8. C x F y charge exchange reaction set included in a volume-averaged plasma model.
Table A8. C x F y charge exchange reaction set included in a volume-averaged plasma model.
ReactionRate ConstantUnitReference
(R315)C 3 F 7 + +C 2 F 4 → CF 3 + +C 4 F 8 2.0  × 10 17 m 3 s 1 [34]
(R316)C 2 F 4 + +C 2 F 4 → C 3 F 5 + +CF 3 2.0  × 10 17 m 3 s 1 [34]
(R317)CF 3 + +C 3 F 7 → C 3 F 7 + +CF 3 7.04  × 10 16 m 3 s 1 [34]
(R318)CF 3 + +C 3 F 5 → C 3 F 5 + +CF 3 7.04  × 10 16 m 3 s 1 [34]
(R319)CF 3 + +C 2 F 6 → C 2 F 5 + +CF 4 2.50  × 10 18 m 3 s 1 [34]
(R320)CF 3 + +C 2 F 4 → C 3 F 7 + 3.30  × 10 17 m 3 s 1 [34]
(R321)CF 2 + +C 4 F 8 → C 3 F 5 + +C 2 F 4 +F2.10  × 10 17 m 3 s 1 [34]
(R322)CF 2 + +C 2 F 6 → C 2 F 5 + +CF 3 3.50  × 10 17 m 3 s 1 [34]
(R323)CF 2 + +C 2 F 4 → C 2 F 4 + +CF 2 1.00  × 10 15 m 3 s 1 [34]
(R324)CF + +C 2 F 6 → CF 3 + +C 2 F 4 2.00  × 10 16 m 3 s 1 [34]
(R325)CF + +C 2 F 4 → C 3 F 5 + 1.30  × 10 16 m 3 s 1 [34]
(R326)CF + +C 2 F 4 → CF 3 + +2CF2.60  × 10 16 m 3 s 1 [34]
(R327)F 2 + +C 2 F 5 → C 2 F 5 + +F 2 1.00  × 10 16 m 3 s 1 [34]
(R328)F 2 + +C 2 F 4 → C 2 F 4 + +F 2 1.00  × 10 16 m 3 s 1 [34]
(R329)F + +C 2 F 6 → C 2 F 5 + +F 2 1.00  × 10 15 m 3 s 1 [34]
(R330)F + +C 2 F 5 → C 2 F 4 + +F 2 1.00  × 10 15 m 3 s 1 [34]
(R331)F + +C 2 F 4 → C 2 F 3 + +F 2 1.00  × 10 15 m 3 s 1 [34]
(R332)C 4 F 8 +F→ F +C 4 F 8 1.00  × 10 15 m 3 s 1 [34]
(R333)CF 2 + +CF 4 → CF 3 + +CF 3 4.00  × 10 16 m 3 s 1 [33]
(R334)CF 2 + +CF 3 → CF 3 + +CF 2 1.48  × 10 15 m 3 s 1 [33]
(R335)CF 2 + +CF→ CF 3 + +C2.06  × 10 15 m 3 s 1 [33]
(R336)CF 2 + +C→ CF + +CF1.04  × 10 15 m 3 s 1 [33]
(R337)CF + +CF 4 → CF 3 + +CF 2 1.80  × 10 16 m 3 s 1 [33]
(R338)CF + +CF 3 → CF 3 + +CF1.71  × 10 15 m 3 s 1 [33]
(R339)CF + +CF 2 → CF 2 + +CF1.00  × 10 15 m 3 s 1 [33]
(R340)C + +CF 3 → CF 3 + +C5.00  × 10 16 m 3 s 1 [33]
(R341)C + +CF 3 → CF 2 + +CF2.48  × 10 15 m 3 s 1 [33]
(R342)C + +CF 2 → CF 2 + +C5.00  × 10 16 m 3 s 1 [33]
(R343)C + +CF→ CF + +C3.18  × 10 15 m 3 s 1 [33]
(R344)F 2 + +CF 4 → CF 3 + +F+F 2 1.00  × 10 16 m 3 s 1 [33]
(R345)F 2 + +CF 3 → CF 3 + +F 2 1.00  × 10 16 m 3 s 1 [33]
(R346)F 2 + +CF 3 → CF 3 + +2F1.60  × 10 15 m 3 s 1 [33]
(R347)F 2 + +CF 2 → CF 2 + +F 2 1.00  × 10 15 m 3 s 1 [33]
(R348)F 2 + +CF 2 → CF 3 + +F1.79  × 10 15 m 3 s 1 [33]
(R349)F 2 + +CF→ CF + +F2.18  × 10 15 m 3 s 1 [33]
(R350)F 2 + +C→ CF + +F1.04  × 10 15 m 3 s 1 [33]
(R351)F 2 + +CF 4 → CF 3 + +F 2 1.00  × 10 15 m 3 s 1 [33]
(R352)F + +CF 3 → CF 3 + +F1.00  × 10 15 m 3 s 1 [33]
(R353)F + +CF 3 → CF 2 + +F 2 1.00  × 10 15 m 3 s 1 [33]
(R354)F + +CF 2 → CF + +F 2 2.28  × 10 15 m 3 s 1 [33]
(R355)F + +CF→ CF + +F5.00  × 10 16 m 3 s 1 [33]
(R356)F + +CF→ C + +F 2 2.71  × 10 15 m 3 s 1 [33]
(R357)F + +C→ C + +F1.17  × 10 15 m 3 s 1 [33]
(R358)F + +F 2 → F 2 + +F7.94  × 10 16 m 3 s 1 [33]
(R359)CF 3 +F→ CF 3 +F 5.00  × 10 14 m 3 s 1 [33]

References

  1. Racka-Szmidt, K.; Stonio, B.; Żelazko, J.; Filipiak, M.; Sochacki, M. A Review: Inductively Coupled Plasma Reactive Ion Etching of Silicon Carbide. Materials 2022, 15, 123. [Google Scholar] [CrossRef] [PubMed]
  2. Cho, C.; You, K.; Kim, S.; Lee, Y.; Lee, J.; You, S. Characterization of SiO2 Etching Profiles in Pulse-Modulated Capacitively Coupled Plasmas. Materials 2021, 14, 5036. [Google Scholar] [CrossRef] [PubMed]
  3. Seong, I.H.; Lee, J.J.; Cho, C.H.; Lee, Y.S.; Kim, S.J.; You, S.J. Characterization of SiO2 Over Poly-Si Mask Etching in Ar/C4F8 Capacitively Coupled Plasma. Appl. Sci. Converg. Technol. 2021, 30, 176–182. [Google Scholar] [CrossRef]
  4. Kim, S.S.; Yong, S.K.; Kim, W.; Kang, S.; Park, H.W.; Yoon, K.J.; Sheen, D.S.; Lee, S.; Hwang, C.S. Review of semiconductor flash memory devices for material and process issues. Adv. Mater. 2022, 2200659. [Google Scholar] [CrossRef]
  5. Ishikawa, K.; Karahashi, K.; Ishijima, T.; Cho, S.I.; Elliott, S.; Hausmann, D.; Mocuta, D.; Wilson, A.; Kinoshita, K. Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom? Jpn. J. Appl. Phys. 2018, 57, 06JA01. [Google Scholar] [CrossRef]
  6. Rauf, S.; Balakrishna, A. SiO2 etching in an Ar/c-C4F8/O2 dual frequency capacitively coupled plasma. J. Vac. Sci. Technol. A Vac. Surfaces Films 2017, 35, 021308. [Google Scholar] [CrossRef]
  7. Kwon, B.; Kim, J.; Lee, N.E.; Shon, J. Ultrahigh selective etching of SiO2 using an amorphous carbon mask in dual-frequency capacitively coupled C4F8/CH2F2/O2/Ar plasmas. J. Electrochem. Soc. 2010, 157, D135. [Google Scholar] [CrossRef]
  8. Krüger, F.; Wilczek, S.; Mussenbrock, T.; Schulze, J. Voltage waveform tailoring in radio frequency plasmas for surface charge neutralization inside etch trenches. Plasma Sources Sci. Technol. 2019, 28, 075017. [Google Scholar] [CrossRef]
  9. Krüger, F.; Lee, H.; Nam, S.K.; Kushner, M.J. Voltage waveform tailoring for high aspect ratio plasma etching of SiO2 using Ar/CF4/O2 mixtures: Consequences of ion and electron distributions on etch profiles. J. Vac. Sci. Technol. A Vac. Surfaces Films 2023, 41, 013006. [Google Scholar] [CrossRef]
  10. Chun, I.; Efremov, A.; Yeom, G.Y.; Kwon, K.H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films 2015, 579, 136–143. [Google Scholar] [CrossRef]
  11. Lim, N.; Efremov, A.; Kwon, K.H. A Comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications. Plasma Chem. Plasma Process. 2021, 41, 1671–1689. [Google Scholar] [CrossRef]
  12. Li, X.; Hua, X.; Ling, L.; Oehrlein, G.S.; Barela, M.; Anderson, H.M. Fluorocarbon-based plasma etching of SiO2: Comparison of C4F6/Ar and C4F8/Ar discharges. J. Vac. Sci. Technol. A Vac. Surfaces Films 2002, 20, 2052–2061. [Google Scholar] [CrossRef]
  13. Huang, S.; Huard, C.; Shim, S.; Nam, S.K.; Song, I.C.; Lu, S.; Kushner, M.J. Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures: A computational investigation. J. Vac. Sci. Technol. A Vac. Surfaces Films 2019, 37, 031304. [Google Scholar] [CrossRef]
  14. Wang, M.; Ventzek, P.L.; Ranjan, A. Quasiatomic layer etching of silicon oxide selective to silicon nitride in topographic structures using fluorocarbon plasmas. J. Vac. Sci. Technol. A Vac. Surfaces Films 2017, 35, 031301. [Google Scholar] [CrossRef]
  15. Kwon, H.; Won, I.; Han, S.; Yu, D.H.; Kwon, D.C.; Im, Y.H.; Iza, F.; Oh, D.; Park, S.K.; Cha, S. Effect of heavy inert ion strikes on cell density-dependent profile variation and distortion during the etching process for high-aspect ratio features. Phys. Plasmas 2022, 29, 093510. [Google Scholar] [CrossRef]
  16. Jeong, W.n.; Lee, Y.s.; Cho, C.h.; Seong, I.h.; You, S.j. Investigation into SiO2 Etching Characteristics Using Fluorocarbon Capacitively Coupled Plasmas: Etching with Radical/Ion Flux-Controlled. Nanomaterials 2022, 12, 4457. [Google Scholar] [CrossRef] [PubMed]
  17. Kim, H.H.; Shin, J.H.; Lee, H.J. Control of the ion flux and energy distribution of dual-frequency capacitive RF plasmas by the variation of the driving voltagesss. J. Vac. Sci. Technol. A 2023, 41, 023004. [Google Scholar] [CrossRef]
  18. Hartmann, P.; Korolov, I.; Escandón-López, J.; van Gennip, W.; Buskes, K.; Schulze, J. Control of ion flux-energy distribution at dielectric wafer surfaces by low frequency tailored voltage waveforms in capacitively coupled plasmas. J. Phys. D Appl. Phys. 2023, 56, 055202. [Google Scholar] [CrossRef]
  19. Lee, J.; Efremov, A.; Yeom, G.Y.; Lim, N.; Kwon, K.H. Application of Si and SiO2 etching mechanisms in CF4/C4F8/Ar inductively coupled plasmas for nanoscale patterns. J. Nanosci. Nanotechnol. 2015, 15, 8340–8347. [Google Scholar] [CrossRef]
  20. Kim, J.K.; Cho, S.I.; Kim, N.G.; Jhon, M.S.; Min, K.S.; Kim, C.K.; Yeom, G.Y. Study on the etching characteristics of amorphous carbon layer in oxygen plasma with carbonyl sulfide. J. Vac. Sci. Technol. A Vac. Surfaces Films 2013, 31, 021301. [Google Scholar] [CrossRef]
  21. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; John Wiley & Sons: Hoboken, NJ, USA, 2005. [Google Scholar]
  22. Chabert, P.; Braithwaite, N. Physics of Radio-Frequency Plasmas; Cambridge University Press: Cambridge, UK, 2011. [Google Scholar]
  23. Kim, S.; Lee, J.; Kim, D.; Kim, J.; You, S. A transmission line model of the cutoff probe. Plasma Sources Sci. Technol. 2019, 28, 055014. [Google Scholar] [CrossRef]
  24. Kim, J.H.; Choi, S.C.; Shin, Y.H.; Chung, K.H. Wave cutoff method to measure absolute electron density in cold plasma. Rev. Sci. Instruments 2004, 75, 2706–2710. [Google Scholar] [CrossRef]
  25. Kim, Y.H.; Kim, J.S.; Kim, D.C.; Kim, Y.W.; Park, J.B.; Han, D.S.; Song, M.Y. Ion and Radical Characteristics (Mass/Energy Distribution) of a Capacitively Coupled Plasma Source Using Plasma Process Gases (CxFy). Coatings 2021, 11, 993. [Google Scholar] [CrossRef]
  26. Savas, S. Estimation of ion impact energies and electrode self-bias voltage in capacitive RF discharges. MRS Online Proc. Libr. (OPL) 1987, 98, 35. [Google Scholar] [CrossRef]
  27. Kim, G.H. Study of Surface Reaction and Gas Phase Chemistries in High Density C4F8/O2/Ar and C4F8/O2/Ar/CH2F2 Plasma for Contact Hole Etching. Trans. Electr. Electron. Mater. 2015, 16, 90–94. [Google Scholar] [CrossRef]
  28. You, S.; Lee, Y.J.; Chae, H.; Kim, C.K. Plasma Etching of SiO2 Contact Holes Using Hexafluoroisopropanol and C4F8. Coatings 2022, 12, 679. [Google Scholar] [CrossRef]
  29. Lee, Y.; Oh, S.; Lee, J.; Cho, C.; Kim, S.; You, S. A Quantification Method in Quadrupole Mass Spectrometer Measurement. Appl. Sci. Converg. Technol. 2021, 30, 50–53. [Google Scholar] [CrossRef]
  30. Lim, N.; Efremov, A.; Yeom, G.Y.; Choi, B.G.; Kwon, K.H. Etching characteristics and mechanisms of Mo thin films in Cl2/Ar and CF4/Ar inductively coupled plasmas. Jpn. J. Appl. Phys. 2014, 53, 116201. [Google Scholar] [CrossRef]
  31. Hahn, Y.B.; Pearton, S.J. A unified global self-consistent model of a capacitively and inductively coupled plasma etching system. Korean J. Chem. Eng. 2000, 17, 304–309. [Google Scholar] [CrossRef]
  32. Kokkoris, G.; Goodyear, A.; Cooke, M.; Gogolides, E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D Appl. Phys. 2008, 41, 195211. [Google Scholar] [CrossRef]
  33. Toneli, D.; Pessoa, R.; Roberto, M.; Gudmundsson, J.T. A global model study of low pressure high density CF4 discharge. Plasma Sources Sci. Technol. 2019, 28, 025007. [Google Scholar] [CrossRef]
  34. Haidar, Y.; Pateau, A.; Rhallabi, A.; Fernandez, M.C.; Mokrani, A.; Taher, F.; Roqueta, F.; Boufnichel, M. SF6 and C4F8 global kinetic models coupled to sheath models. Plasma Sources Sci. Technol. 2014, 23, 065037. [Google Scholar] [CrossRef]
  35. Hjartarson, A.; Thorsteinsson, E.; Gudmundsson, J. Low pressure hydrogen discharges diluted with argon explored using a global model. Plasma Sources Sci. Technol. 2010, 19, 065008. [Google Scholar] [CrossRef]
  36. Vasenkov, A.V.; Li, X.; Oehrlein, G.S.; Kushner, M.J. Properties of c-C4F8 inductively coupled plasmas. II. Plasma chemistry and reaction mechanism for modeling of Ar/c-C4F8/O2 discharges. J. Vac. Sci. Technol. A Vac. Surfaces Films 2004, 22, 511–530. [Google Scholar] [CrossRef]
  37. Lee, Y.; Kim, S.; Lee, J.; Cho, C.; Seong, I.; You, S. Purgeless atomic layer etching of SiO2. J. Phys. D Appl. Phys. 2022, 55, 365203. [Google Scholar] [CrossRef]
  38. Matsui, M.; Usui, T.; Yasunami, H.; Ono, T. Relationship between formation of surface-reaction layers and flux of dissociated species in C4F8/Ar plasma for SiO2 etching using pulsed-microwave plasma. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2016, 34, 051204. [Google Scholar] [CrossRef]
  39. Rueger, N.; Beulens, J.; Schaepkens, M.; Doemling, M.; Mirza, J.; Standaert, T.; Oehrlein, G. Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor. J. Vac. Sci. Technol. A Vac. Surfaces Films 1997, 15, 1881–1889. [Google Scholar] [CrossRef]
  40. Huard, C.M.; Zhang, Y.; Sriraman, S.; Paterson, A.; Kushner, M.J. Role of neutral transport in aspect ratio dependent plasma etching of three-dimensional features. J. Vac. Sci. Technol. A Vac. Surfaces Films 2017, 35, 05C301. [Google Scholar] [CrossRef]
  41. Jinnai, B.; Orita, T.; Konishi, M.; Hashimoto, J.; Ichihashi, Y.; Nishitani, A.; Kadomura, S.; Ohtake, H.; Samukawa, S. On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching process. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2007, 25, 1808–1813. [Google Scholar] [CrossRef]
  42. Wei, Q.; Li, K.D.; Lian, J.; Wang, L. Angular dependence of sputtering yield of amorphous and polycrystalline materials. J. Phys. D Appl. Phys. 2008, 41, 172002. [Google Scholar] [CrossRef]
  43. Marchack, N.; Chang, J.P. Perspectives in nanoscale plasma etching: What are the ultimate limits? J. Phys. D Appl. Phys. 2011, 44, 174011. [Google Scholar] [CrossRef]
  44. Hash, D.; Bose, D.; Rao, M.; Cruden, B.; Meyyappan, M.; Sharma, S. Impact of gas heating in inductively coupled plasmas. J. Appl. Phys. 2001, 90, 2148–2157. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of a dual-frequency capacitively coupled Ar/C 4 F 8 plasma source.
Figure 1. Schematic diagram of a dual-frequency capacitively coupled Ar/C 4 F 8 plasma source.
Materials 16 03820 g001
Figure 2. Scanning electron microscope (SEM) images of a cross-sectional view of a patterned wafer sample for (a) 200 nm and (b) 60 nm line widths.
Figure 2. Scanning electron microscope (SEM) images of a cross-sectional view of a patterned wafer sample for (a) 200 nm and (b) 60 nm line widths.
Materials 16 03820 g002
Figure 3. Measured self-bias voltages (V self s) and electron densities ( n e ) for ion flux- and ion energy-controlled regimes.
Figure 3. Measured self-bias voltages (V self s) and electron densities ( n e ) for ion flux- and ion energy-controlled regimes.
Materials 16 03820 g003
Figure 4. Scanning electron microscope (SEM) images for (ac) 200 nm and (df) 60 nm line widths at self-bias voltages ( V self ) of (a,d) 450 V, (b,e) 890 V, and (c,f) 1270 V with fixed electron density ( n e ) about 2.0 × 10 9 cm 3 . (g) Measured V self and electron densities at each condition ((a,d), (b,e), and (c,f)), and (h) measured etch depth over V self . Here, the tilted profiles and stripe patterns are caused by the set-up and charge-up during SEM image capture, respectively.
Figure 4. Scanning electron microscope (SEM) images for (ac) 200 nm and (df) 60 nm line widths at self-bias voltages ( V self ) of (a,d) 450 V, (b,e) 890 V, and (c,f) 1270 V with fixed electron density ( n e ) about 2.0 × 10 9 cm 3 . (g) Measured V self and electron densities at each condition ((a,d), (b,e), and (c,f)), and (h) measured etch depth over V self . Here, the tilted profiles and stripe patterns are caused by the set-up and charge-up during SEM image capture, respectively.
Materials 16 03820 g004
Figure 5. Scanning electron microscope (SEM) images for (ac) 200 nm and (df) 60 nm line widths at (a,d) 1.1 × 10 09 cm 3 , (b,e) 1.9 × 10 09 cm 3 , and (c,f) 3.1 × 10 09 cm 3 , with the fixed self-bias voltage about 740 V. (g) Measured V self and electron densities at each condition ((a,d), (b,e), and (c,f)), and (h) measured etch depth over n e . Here, the tilted profiles and stripe patterns are caused by the set-up and charge-up during SEM image capture, respectively.
Figure 5. Scanning electron microscope (SEM) images for (ac) 200 nm and (df) 60 nm line widths at (a,d) 1.1 × 10 09 cm 3 , (b,e) 1.9 × 10 09 cm 3 , and (c,f) 3.1 × 10 09 cm 3 , with the fixed self-bias voltage about 740 V. (g) Measured V self and electron densities at each condition ((a,d), (b,e), and (c,f)), and (h) measured etch depth over n e . Here, the tilted profiles and stripe patterns are caused by the set-up and charge-up during SEM image capture, respectively.
Materials 16 03820 g005
Figure 6. Radicals densities (C 4 F 7 , C 3 F 6 , C 2 F 4 , CF 3 , CF 2 , CF) at different electron densities ( n e s) calculated by an in-house volume-averaged plasma model.
Figure 6. Radicals densities (C 4 F 7 , C 3 F 6 , C 2 F 4 , CF 3 , CF 2 , CF) at different electron densities ( n e s) calculated by an in-house volume-averaged plasma model.
Materials 16 03820 g006
Figure 7. Etch depth over variation rate of ion flux and energy in ion flux- and energy-controlled regimes at (a) 200 nm, (b) 100 nm, and (c) 60 nm line widths.
Figure 7. Etch depth over variation rate of ion flux and energy in ion flux- and energy-controlled regimes at (a) 200 nm, (b) 100 nm, and (c) 60 nm line widths.
Materials 16 03820 g007
Table 1. Species included in the volume-averaged plasma model, besides the electron. Here, CF* and CF 2 * mean the excited species of CF and CF 2 , respectively.
Table 1. Species included in the volume-averaged plasma model, besides the electron. Here, CF* and CF 2 * mean the excited species of CF and CF 2 , respectively.
TypeSpecies
C speciesC, C +
F speciesF, F + , F , F 2 , F 2 + , F 2
CF a speciesCF, CF*, CF + , CF 2 , CF 2 *, CF 2 + , CF 3 , CF 3 + , CF 3 , CF 4
C 2 F b speciesC 2 F 3 , C 2 F 3 + , C 2 F 4 , C 2 F 4 + , C 2 F 5 , C 2 F 5 + , C 2 F 6
C 3 F c speciesC 3 F 5 , C 3 F 5 + , C 3 F 6 , C 3 F 6 + , C 3 F 7 , C 3 F 7 +
C 4 F d speciesC 4 F 7 , C 4 F 7 + , C 4 F 8 , C 4 F 8
Ar speciesAr, Ar m , Ar r , Ar(4p), Ar +
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Jeong, W.; Kim, S.; Lee, Y.; Cho, C.; Seong, I.; You, Y.; Choi, M.; Lee, J.; Seol, Y.; You, S. Contribution of Ion Energy and Flux on High-Aspect Ratio SiO2 Etching Characteristics in a Dual-Frequency Capacitively Coupled Ar/C4F8 Plasma: Individual Ion Energy and Flux Controlled. Materials 2023, 16, 3820. https://doi.org/10.3390/ma16103820

AMA Style

Jeong W, Kim S, Lee Y, Cho C, Seong I, You Y, Choi M, Lee J, Seol Y, You S. Contribution of Ion Energy and Flux on High-Aspect Ratio SiO2 Etching Characteristics in a Dual-Frequency Capacitively Coupled Ar/C4F8 Plasma: Individual Ion Energy and Flux Controlled. Materials. 2023; 16(10):3820. https://doi.org/10.3390/ma16103820

Chicago/Turabian Style

Jeong, Wonnyoung, Sijun Kim, Youngseok Lee, Chulhee Cho, Inho Seong, Yebin You, Minsu Choi, Jangjae Lee, Youbin Seol, and Shinjae You. 2023. "Contribution of Ion Energy and Flux on High-Aspect Ratio SiO2 Etching Characteristics in a Dual-Frequency Capacitively Coupled Ar/C4F8 Plasma: Individual Ion Energy and Flux Controlled" Materials 16, no. 10: 3820. https://doi.org/10.3390/ma16103820

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop