Next Article in Journal
Investigating the Effectiveness of Nano-Montmorillonite on Asphalt Binder from Rheological, Thermodynamics, and Chemical Perspectives
Next Article in Special Issue
Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar
Previous Article in Journal
Direct Characterization of the Relation between the Mechanical Response and Microstructure Evolution in Aluminum by Transmission Electron Microscopy In Situ Straining
Previous Article in Special Issue
Plasma Parameters and Etching Characteristics of SiOxNy Films in CF4 + O2 + X (X = C4F8 or CF2Br2) Gas Mixtures
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

On Relationships between Gas-Phase Chemistry and Reactive Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures

1
Department of Electronic Devices and Materials Technology, State University of Chemistry and Technology, 7 Sheremetevsky av., 153000 Ivanovo, Russia
2
Department of Control and Instrumentation Engineering, Korea University, 2511 Sejong-ro, Sejong 30019, Korea
*
Author to whom correspondence should be addressed.
Materials 2021, 14(6), 1432; https://doi.org/10.3390/ma14061432
Submission received: 3 February 2021 / Revised: 7 March 2021 / Accepted: 9 March 2021 / Published: 15 March 2021
(This article belongs to the Special Issue Etching Kinetics and Mechanisms of Thin Films)

Abstract

:
This work summarizes the results of our previous studies related to investigations of reactive ion etching kinetics and mechanisms for widely used silicon-based materials (SiC, SiO2, and SixNy) as well as for the silicon itself in multi-component fluorocarbon gas mixtures. The main subjects were the three-component systems composed either by one fluorocarbon component (CF4, C4F8, CHF3) with Ar and O2 or by two fluorocarbon components with one additive gas. The investigation scheme included plasma diagnostics by Langmuir probes and model-based analysis of plasma chemistry and heterogeneous reaction kinetics. The combination of these methods allowed one (a) to figure out key processes which determine the steady-state plasma parameters and densities of active species; (b) to understand relationships between processing conditions and basic heterogeneous process kinetics; (c) to analyze etching mechanisms in terms of process-condition-dependent effective reaction probability and etching yield; and (d) to suggest the set gas-phase-related parameters (fluxes and flux-to-flux ratios) to control the thickness of the fluorocarbon polymer film and the change in the etching/polymerization balance. It was shown that non-monotonic etching rates as functions of gas mixing ratios may result from monotonic but opposite changes in F atoms flux and effective reaction probability. The latter depends either on the fluorocarbon film thickness (in high-polymerizing and oxygen-less gas systems) or on heterogeneous processes with a participation of O atoms (in oxygen-containing plasmas). It was suggested that an increase in O2 fraction in a feed gas may suppress the effective reaction probability through decreasing amounts of free adsorption sites and oxidation of surface atoms.

1. Introduction

In our days, silicon-based electronics still keep the dominant position in the worldwide production of various integrated circuits and discrete electronic devices. The chemical base of such devices is represented by the silicon itself, which is normally plays the role of the substrate material, as well as by three main silicon-based substances, such as SiC, SiO2 and Si3N4. In particular, silicon carbide is a very promising semiconductor featuring high thermal conductivity, high breakdown voltage and wide bandgap [1]. Accordingly, it has found numerous applications in high-power, high-frequency electronics (e.g., thyristors, static induction transistors, Schottky diodes and field-effect transistors) and/or in devices working at high temperatures [1,2,3]. Silicon dioxide and silicon nitride are a couple of widely used dielectric materials that appear as functional layers in various device structures, spacer dielectrics, passivating coatings and hard masks with high stability in respect to aggressive etchant environments [4,5]. In addition, the same applications are also for non-stoichiometric SiOxNy films which also exhibit a dielectric nature and are characterized by both low density of surface states and high dielectric permittivity [6]. It was also found that SiOxNy is a very attractive material for optical devices due to having quite low optical loss (less than 0.2 dB/cm at 1550 nm [7,8]) and a wide range of refractive index (between 1.45 for SiO2 and 2.0 for Si3N4) which may be adjusted through a change in the O/N ratio [8].
Obviously, since most real devices have complicated multi-layer structures, the corresponding device fabrication process needs the precision patterning of both the silicon substrate and preliminary deposited SiC, SiO, Si3N4 and/or SiOxNy layers. Recently, strong requirements to devise dimension and performance pre-determine the use of “dry” patterning techniques, namely the reactive ion etching (RIE) method. The main feature of RIE is the simultaneous action of two parallel etching mechanisms, such as physical sputtering and ion-assisted chemical reaction [9,10]. In such a situation, an appropriate choice of working gas may allow flexible adjustment of the output process characteristics—etching rate, etching profile, selectivity in respect to mask and/or under-layer material, etching residues, surface roughness, etc. As for working gases, the leading position belongs to the fluorocarbon family with a general formula of CxHyFz [9,10,11,12,13,14]. Inside the fluorocarbon gas family, CF4 exhibits the highest z/x ratio and, thus, is characterized by the domination of etching over surface polymerization for typical RIE conditions [9,13]. At the same time, fluorocarbon gases with z/x < 3 (for example, C4F6, C4F8, CHF3 and CH2F2) exhibit a high polymerization ability that results in the deposition of a fluorocarbon polymer film on the treated surface. Though the last phenomenon lowers absolute etching rates and causes etching residues, it positively influences the etching anisotropy for silicon (due to the passivation of side walls) as well as allowing one to obtain noticeably higher etching selectivity for couples of SiO2/Si and SiO2/Si3N4 (due to different thicknesses of polymer films on oxygen-free and oxygen-containing surfaces) [9,11]. Therefore, the adjustment of polymerizing ability through the proper selection of fluorocarbon gases, additive components and processing conditions provides an effective tool for the development of advanced RIE processes for silicon-based materials.
Until now, there have been many experimental studies (for example, Refs. [15,16,17,18,19,20,21,22,23] as well as earlier ones included in monographs [11,12,13,14]) that reported about RIE kinetics and mechanisms for silicon-based materials in various fluorocarbon gas plasmas. The most important findings of these works in respect to both etching and polymerization effects may be formulated as follows:
  • The main contribution to the chemical etching pathway for all above-mentioned materials under typical RIE conditions (p < 50 mTorr, ion bombardment energy ~200–400 eV) belongs to F atoms. The role of CFx (x = 1–3) radicals as etchant species is almost negligible; it works only through the ion-induced defluorination of deposited fluorocarbon polymer film [9,16].
  • The steady-state thickness of polymer film, h p o l , under the same processing conditions normally decreases in the sequence of Si–Si3N4–SiO2 [15,16,17], as follows from an opposite order of corresponding etching rates [16,17]. The lowest h p o l ,   S i O 2 value is due to the etching of polymer by oxygen atoms on the film/SiO2 interface [9,17,18,19,20], while the condition h p o l ,   S i > h p o l ,   S i 3 N 4 is provided by the higher sticking probability of polymerizing radicals to the Si surface [16]. However, this rule may not work for all gas systems and processing conditions. As an example, Ref. [16] reports on the highest etching rate for Si3N4 in CHF3 plasma at ion energies above 150 eV.
  • Both etching and polymerization kinetics may be effectively adjusted by mixing fluorocarbon gas with Ar and/or O2 [9,17,20,21,22,23]. Corresponding mechanisms work through changes in (a) the formation/decay balance for F atoms and polymerizing radicals in a gas phase; and (b) the physical and chemical decomposition rates of the fluorocarbon polymer film.
  • The chemical interaction of F atoms with Si has no threshold energy and occurs spontaneously with the formation of highly volatile SiF4 at typical process temperatures [12,13,14]. That is why the “pure” Si + F reaction kinetics exhibits weak sensitivity to ion bombardment with energies below ~100 eV [11,12] (in fact, to the ion-stimulated desorption of reaction products) as well as being characterized by the exponent-like dependence of its etching rate on surface temperature [9]. At the same time, the RIE kinetics of silicon in high-polymerizing plasmas exhibits sufficient sensitivity to both ion flux and energy, in addition to the F atom density. The reasons are (a) the contribution of the sputter etching pathway; and (b) the change in h p o l that influences the Si + F reaction probability through the access of F atoms to the etched surface [15,16,24].
  • The chemical interaction of F atoms with SiC also has a threshold-less nature, since the strength of the Si–C bond of ~447 kJ/mol is lower compared with both Si–F (~552 kJ/mol [25]) and C–F (~514 kJ/mol [25]). Depending on the combination of processing conditions (type of fluorocarbon gas, additive components and ion bombardment energy), the SiC etching kinetics may correspond to either the neutral-flux-limited or the ion-flux-limited etching regime. Evidence of the first one is illustrated by the non-monotonic SiC etching rate vs. O2 fraction in the CF4 + O2 plasma [26,27] that corresponds to the change in F atom density [28,29,30]. Accordingly, the possibility of the ion-driven etching regime is indicated by the decreasing SiC etching rate vs. gas pressure [31]. Similarly to other materials, the SiC etching process in high-polymerizing fluorocarbons is affected by the deposition of polymer film [27,31].
  • The chemical interaction of F atoms with Si3N4 can also follow a spontaneous mechanism (as the strength of the Si–N bond of ~470 kJ/mol [25] is smaller compared with that for Si–F) but shows much higher sensitivity to the ion bombardment intensity compared with Si [17]. This is due to the ion-induced destruction of Si–N bonds that creates energetically favorable adsorption sites for F atoms. Under typical RIE conditions, the Si3N4 etching process exhibits the features of a neutral-flux-limited regime controlled by F atom flux. The last conclusion follows from (a) an increase in Si3N4 etching with increasing gas pressure and input power [17,32] and (b) the non-monotonic (with a maximum at 30–40% O2) Si3N4 etching rate in the CF4 + O2 plasma [18,33,34] that corresponds to the similar non-monotonic behavior of F atom density [28,29,30].
  • The chemical interaction of F atoms with SiO2 cannot occur spontaneously because of the sufficient energy threshold (as the Si-F bond energy is lower than the Si–O one, ~799 kJ/mol [25]). That is why the dry etching of SiO2 requires mandatory ion bombardment (to produce adsorption sites for F atoms through Si–O bonds breaking as well as to desorb low volatile non-saturated SiFx compounds [9]) and is controlled by ion flux at ion energies below ~200 eV. At the same time, higher ion energies are generally enough to provide domination of the chemical etching pathway controlled by F atom flux [10,14]. The last feature is confirmed by the non-monotonic (with a maximum at 30–40% O2) SiO2 etching rate in the CF4 + O2 plasma [21,23], similar to those obtained for Si [9,12] and Si3N4 [18,33,34].
Analysis of the above data allows one to formulate at least two serious problems which require additional justifications and research efforts. Firstly, a significant part of published works have a mostly experimental nature and, thus, discuss both etching and polymerization effects without data on plasma parameters and plasma chemistry. As such, even the very detailed and accurate studies (for example, Refs. [15,16,17,18,19,20,21,22,23]) say nothing about mechanisms which transfer the change in operating conditions to heterogeneous process kinetics. Obviously, the unknown relationships between gas-phase and heterogeneous chemistries limit the significance of corresponding results (as the latter are surely valid only for a given combination of processing conditions) and cause uncertainties in interpretations of etching mechanisms. Secondly, insufficient attention was paid to the effect of gas mixing ratios in two- or three-component gas mixtures which combine either one fluorocarbon component with two additives or two fluorocarbons with one additive gas. At the same time, some published works [35,36] clearly demonstrated that the change in gas mixing ratios in two- and, especially, in three-component gas systems may be an actual tool to control both gas-phase plasma parameters and output etching characteristics such as etching rate, etching anisotropy, etching selectivity in respect to both mask and under-layer materials as well as etching uniformity and surface roughness. Obviously, the absence of systematic studies in this direction does not help to understand the features and applicability of a given gas system for the purpose of a given etching process.
In recent years, we performed a series of research works dealing with effects of gas mixing ratios in two- and three-component fluorocarbon gas mixtures [36,37,38,39,40,41,42,43]. In these works, etching experiments were combined with plasma diagnostics by Langmuir probes and 0-dimensional plasma modeling. Such an approach allows one (a) to understand how the gas mixing ratio influences electron- and ion-related plasma parameters at constant processing conditions (gas pressure, input power and bias power); (b) to figure out key plasma chemical processes determining the steady-state densities of F atoms and polymerizing radicals; (c) to suggest a set of gas-phase-related variables (in the form of species fluxes and flux-to-flux ratios) in order to trace the polymer deposition/decomposition kinetics; and d) to match changes of RIE process characteristics with those in gas-phase and heterogeneous reaction kinetics. The main idea of the present work was to summarize and re-discuss, on a comparative scale, our results concerning CF4 ( z / x = 4)–, CHF3 ( z / x = 3)– and C4F8 ( z / x = 2)-based gas mixtures. These gases were chosen because of the continuous decrease in the z / x ratio in the sequence of CF4–CHF3–C4F8 that pre-determines mandatory differences in the densities of polymerizing radicals and fluorine atoms. Accordingly, the comparison of corresponding results may provide the ability for better understanding the features of reactive ion etching processes in low- and high-polymerizing gas systems. The subjects of our interest were their binary mixtures with Ar (as the simplest gas systems where the additive gas influences both gas-phase and heterogeneous process kinetics only through physical effects), ternary mixtures which combine the fluorocarbon component with Ar and O2 (as gas systems where reactions involving O and O(1D) species influence the densities of active species and polymer decomposition rates [9,10,11,12]) as well as ternary mixtures with two fluorocarbon components. In the last case, it was suggested that mixing two fluorocarbons with different z / x ratios allows wide-range adjustment of both etching and polymerization kinetics in oxygen-less gas systems. Accordingly, the component mixing ratio always played the role of the main variable parameter, and the most attention was paid to the following issues:
  • To understand how the chemical nature of the fluorocarbon component and corresponding z / x value influences electron- and ion-related plasma parameters (electron temperature, plasma density, ion flux and energy) that determine both electron impact kinetics and ion–surface interaction efficiency;
  • To figure out differences in steady-state densities of F atoms and polymerizing radicals under the same processing conditions in light of their formation/decay kinetics as well as to evaluate the ability of additive gases (rather, the impact of their mixing ratios) to adjust gas-phase compositions in corresponding gas systems;
  • To compare RIE performances for both non-oxygenated and oxygenated gas systems with respect to various silicon-based materials in terms of etching rates and etching selectivity as well as to suggest corresponding etching mechanisms and limiting stages through correlations between fluxes of active species and obtained etching kinetics.

2. Materials and Methods

2.1. Experimental Setup and Procedures

Experiments were carried out in a planar inductively coupled plasma (ICP) reactor (Figure 1), the same as that used in our previous works [36,37,38]. The reactor chamber was made from anodized aluminum and had a cylindrical ( r = 16 cm, l = 13 cm) shape. Plasma was produced using the rf (radio frequency 13.56 MHz) power supply which was connected to the flat 5-turn copper antenna through the matching network. The antenna was located on the top side of the chamber and was separated from the vacuum part by a quartz window. Another 13.56-MHz rf power supply biased the bottom electrode (the substrate holder) in order to set the ion bombardment energy through the negative dc bias voltage ( U d c ). The last parameter was measured using a high-voltage probe (AMN-CTR, Youngsin-RF Co.,Ltd, Seoul, Korea). The bottom electrode was equipped with a water flow cooling system that allows one to maintain nearly constant temperatures ( T S ) for the processing times τ ~ 5 min. The variable processing parameters were total gas flow rate ( q = 40–60 sccm), gas pressure ( p = 4–10 mTorr), input power ( W = 600–900 W), bias power ( W d c = 200–300 W) and component mixing ratios in the feed gas. The latter were set by adjusting partial flow rates of individual gases ( q i ) under the condition of q = const. Accordingly, the composition of the input gas mixture was characterized by component fractions y i = q i / q .
Plasma diagnostics by the double Langmuir probe (DLP2000, Plasmart Inc., Deajeon, Korea) provided experimental data on electron- and ion-related plasma parameters such as electron temperature ( T e ) and ion current density ( J + ). The treatment of measured I–V curves was based on the double Langmuir probe theory in collision-less probe sheath approximation [44,45]. In order to minimize the distortion of both raw I–V curves and related data due to the contamination of probe tips by the fluorocarbon polymer, the latter were cleaned in 50% Ar + 50% O2 plasma before and after each measurement. From our previous works (for example, Refs. [35,36]), it can be understood that such a procedure provides adequate diagnostics results in high-polymerizing fluorocarbon plasmas.
Etching kinetics for Si, SiC, SiO2 and Si3N4 (or the non-stoichiometric SiNx) were studied using fragments of Si (100) wafers without or with a preliminary deposited layer of the corresponding material. SiC films with a thickness of ~150 nm were produced by rf magnetron sputtering of 99% SiC target in Ar plasma. The substrate surface was preliminarily cleaned from organic contaminations through consequent washing in trichloroethylene, acetone, methanol and deionized water. More details about the deposition method and regimes are available in Ref. [46]. SiO2 films with a thickness of ~500 nm were produced using the low-pressure chemical vapor deposition (LPCVD) technique. The precursor gases were SiH4 and O2, and the substrate temperature was 425 °C. More details about the deposition method and regimes may be found in Ref. [40]. SiNx films with a thickness of ~400 nm were produced by the plasma-enhanced chemical vapor deposition (PECVD) method. The precursor gases were SiH4, He, NH3 and N2. The deposition process was carried out in an rf (13.56 MHz) plasma source operated at 300 W and 800 mTorr. The substrate temperature was 150 °C. More details about the deposition method and regimes may be found in Ref. [40]. Ellipsometry measurements (EC-400 and M-2000V, J.A Woollam, Lincoln, NE, USA) showed an average refractive index of 2.026 ± 0.001 in the wavelength range of 370–990 nm. This value is quite close to that for stoichiometric Si3N4 (~2.0 [25]).
Etched samples with a size of ~2 cm × 2 cm were placed on the bottom electrode and centered in radial direction. The small sample surface area aimed to reduce the loading effect as well as to provide an etching regime reflecting actual heterogeneous kinetics. In preliminary experiments, no principal differences were found in both measured I–V curves and matched plasma parameters obtained with and without sample loading. In fact, this allows one to neglect the sensitivity of gas-phase plasma characteristics to etching products as well as to consider the gas phase to be the permanent source of active species. Etched depths ( h ) were measured using the surface profiler Alpha-Step 500 (Tencor, Milpitas, CA, USA) for the processing time τ = 1 min. In order to supply these measurements, we provided a partial surface masking using the photoresist AZ1512 with a thickness of ~1.5 µm. The quasi-linear shapes of h = f τ   curves in all investigated gas systems pointed out the steady-state etching kinetics as well as allowing us to assume the very weak change in the sample temperature, at least within the given processing time. Otherwise, the continuously increasing sample temperature is expected to produce Arrhenius-like h = f τ curves instead of nearly linear ones (at least for Si due to its spontaneous reaction mechanism with F atoms). Based on the above data, etching rates were always calculated as R = h / τ , while we ignored sample temperature-related effects when analyzing changes in etching kinetics vs. gas mixing ratio at p ,   W = const.

2.2. Approaches for Analysis of Gas-Phase Chemistry

In order to obtain information on the kinetics and densities of plasma active species, we applied a simplified 0-dimensional (global) kinetic model [47,48]. A set of chemical reactions with corresponding rate coefficients was taken from our previous works that dealt with the modeling of CF4 + Ar/O2 [40,47,48,49,50], CHF3 + Ar/O2 [50,51,52,53] and C4F8 + Ar/O2 [36,39,43,48,54] plasmas. The basic model approaches were as follows:
  • The electron energy distribution function (EEDF) has a nearly Maxwellian shape. The applicability of Maxwellian EEDFs to describe electron-impact kinetics in low-pressure CF4–, CHF3– and C4F8– based plasmas has been demonstrated in several works [28,55,56,57,58]. This allows one to obtain electron-impact rate coefficients as k = A T e B exp C / T e [55,56,58] based on measured T e values.
  • The dependence of gas temperature, T g a s , on gas mixing ratios at p ,   W = const. may be neglected. The indirect proof for this suggestion is the nearly constant temperature of the external chamber wall obtained for different gas mixing ratios at fixed plasma on time. Since experimental data on T g a s were not available in this study, we took 600–700 K as the typical value for close processing conditions, reactor type and geometry [47,48,49,50,51,52,53]. This allowed us to operate with constant rate coefficients for gas-phase atom molecular reactions, which were taken from the NIST(National Institute of Standards and Technology) Chemical Kinetics Database [59].
  • The heterogeneous loss of atoms and radicals is described by first-order recombination kinetics with k γ υ T / Λ [36,37,38], where Λ = 2.405 / r 2 + π / l 2 1 / 2   is the diffusion length [9] and υ T = 8 k B T g a s / π m is the thermal velocity for a particle with a mass of m . Recombination probabilities γ were taken from Refs. [55,56,58,60] where these were obtained experimentally or adjusted by a plasma modeling procedure. We also suggested that all recombination probabilities are independent of gas mixing ratios because of the stable chamber wall conditions. The reasons are (a) no re-deposition of reaction products due to the low sample size; and (b) the nearly constant wall temperature. The indirect proof for the last suggestion is the nearly constant external wall temperature, as was mentioned above.
  • The electronegativity of low-pressure CF4–, CHF3– and C4F8– based plasmas, even with addition of O2, is low enough to assume n e n + [28,55,56,57,58], where n e is the electron density and n + is the total density of positive ions. The latter was obtained from measured J + without accounting for the influence of negative ions on the ion Bohm velocity:
    n + J + 0.61 e e T e / m i  
The effective ion mass was evaluated according to Blank’s law as m i = y X + i / m X + i 1 , where y X + i and m X + i are partial ion fractions and masses, respectively. For each type of positive ion, it was suggested that y X + ~ k i z y X / 1 / m X + [40], where y X is the fraction of corresponding neutral particles with the ionization rate coefficient of k i z [55,58].
The output model parameters were the volume-averaged steady-state densities of plasma active species and their fluxes to the etched surface. It should also be noted that the combination of the used modeling algorithm and kinetic scheme provides an adequate description of the plasma chemistry in the given gas systems [55,56,57,58].

2.3. Approaches for Analysis of Etching Kinetics

The basic features of reactive ion etching processes in fluorocarbon-based plasmas as well as related reaction mechanisms for Si, SiO2 and Si3N4 have been discussed in detail in Refs. [15,16,18,19,20,24,36,37,38,39,61,62]. When summarizing these findings, the following general approaches for the analysis of etching kinetics may be used:
  • Under reactive ion etching conditions (i.e., when the ion bombardment energy exceeds the sputtering threshold for the target surface), the measured etching rate, R , may be represented in the form of two summands, R p h y s + R c h e m [9,61]. These represent physical (sputtering by ion bombardment) and chemical (ion-assisted chemical reaction) etching pathways, respectively.
  • The ion-assisted chemical reaction has the rate of γ R Γ F [37,38], where γ R is the effective reaction probability which accounts for the net effect from all heterogeneous stages, and Γ F n F υ T / 4 is the fluorine atom flux. In general, the effective reaction probability γ R s 0 1 θ [38,39] depends on surface temperature (through the sticking coefficient s 0 ) as well as on any factor influencing the fraction of free adsorption sites for F atoms 1 θ . In polymerizing plasmas, γ R decreases with increasing thickness of the fluorocarbon polymer film when the latter comes to be enough to provide Γ F / Γ F << 1, where Γ F is the flux of F atoms on the polymer film/etched surface interface [39].
  • The physical sputtering (as well as any other ion-related etching effect with a purely physical nature: breaking of chemical bonds between surface atoms, ion-stimulated desorption of reaction products) has the rate of Y S Γ + [9,63], where Y S ~   M i ε i [35,36,37] is the sputtering yield,   M i =   m i   N A is the effective ion molar mass, ε i = U f U d c is the ion bombardment energy, U f 0.5 T e ln m i / 2 π m e is the floating potential and Γ + J + / e is the flux of positive ions. Accordingly, the relative change in the corresponding process rate may be traced by the parameter M i ε i Γ + [37,38]. Obviously, in the case of M i const., one can simply use ε i Γ + .
  • The growth of the fluorocarbon polymer film is provided by the CFx (x = 1, 2) radicals, and the polymerization ability increases in fluorine-poor plasmas [16,24]. Accordingly, the polymer deposition rate may be traced by the Γ p o l / Γ F ratio [35,36,37], where Γ p o l is the total flux of polymerizing radicals ( Γ C F 2 + Γ C F in CF4- and C4F8- based plasmas while Γ C F 2 + Γ C F   + Γ C H F in CHF3- based plasmas). Accordingly, parameters Γ p o l / M i ε i Γ + Γ F and Γ p o l / Γ O Γ F characterize the change in the polymer film thickness due to physical (destruction by ion bombardment) and chemical (etching by O atoms) destruction pathways, respectively [35,36,40,48].

3. Results

3.1. Non-Oxygenated Gas Systems

The influence of input RIE process conditions (gas pressure and flow rate, input power and bias power) on electron- and ion-related plasma parameters in CF4, CHF3 and C4F8 gases has been studied in earlier works [55,56,57,58,64,65,66]. That is why, below, we will focus the attention only on their key properties which seem to be principal for understanding the features of CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas under the condition of p ,   W = const. These are as follows:
-
The electron temperature increases in the sequence of CF4–C4F8–CHF3, while the shapes of T e = f y A r curves in corresponding gas mixtures are somewhat different (Table 1). The maximum value of T e in the CHF3 plasma results from low electron energy losses for the ionization of the dominant neutral component HF (Hydrogen Fluoride) compared with that for CF4 molecules in the CF4 plasma and CF2 radicals in the C4F8 plasma (Figure 2). Such a conclusion directly follows from the comparison of rate coefficients ( k ) and threshold energies ( ε ) for R1: HF + e → HF+ + 2e ( ε 1 = 16.1 eV, k 1 = 4.6 × 10−10 cm3/s at T e = 3 eV); R2: CF4 + e → CF3+ + F + 2e ( ε 2 = 15.9 eV, k 2 = 1.6 × 10−9 cm3/s at T e = 3 eV) and R3: CF2 + e → CF2+ + 2e ( ε 3 = 10.0 eV, k 3 = 2.1 × 10−9 cm3/s at T e = 3 eV). The condition T e (CF4) < T e (C4F8) is connected with higher electron energy losses for vibrational and electronic excitations for CF4 compared with CF2. An increase in y A r in the CF4 + Ar gas mixture causes a nearly proportional decrease in electron energy losses for vibrational and electronic excitations of CFx species as well as leads to the opposite change in energy losses for ionization due to R4: Ar + e → Ar+ + 2e ( ε 4 = 15.6 eV, k 4 = 3.0 × 10−9 cm3/s at T e = 5 eV). Accordingly, the change in CF4/Ar mixing ratio at p ,   W = const. results in T e ≈ const. At the same time, an increase in y A r in CHF3 + Ar and C4F8 + Ar plasmas is characterized by much a stronger increase in electron energy losses for ionization (due to ε 1 k 1 << ε 2 k 2 and ε 3 k 3 ) that produces a decrease in T e (Table 1).
-
The plasma density increases in the sequence of C4F8–CF4–CHF3 (Table 1) due to the same order of total ionization frequencies, as follows from k 1 < k 2 < k 3 . Similar changes in both n + and n e vs. Ar fraction in the feed gas in all three gas systems result from an increase in total ionization frequencies toward Ar-rich plasmas (2.7 × 104–6.5 × 104 s−1 for CF4 + Ar; 1.2 × 105–1.7 × 105 s−1 for CHF3 + Ar and 9.5 × 104–2.1 × 105 s−1 for C4F8 + Ar at 0–75% Ar). Mechanisms providing such a phenomenon are the condition k 4 > k 1 , k 2 and k 3 as well as the contribution of R5: Arm + e → Ar+ + 2e, where Arm = Ar (3P0,1,2) are the metastable Ar atoms. Accordingly, it is analogous for ion current densities J + (0.95–1.63 mA/cm2 for CF4 + Ar; 1.55–2.20 mA/cm2 for CHF3 + Ar and 1.05–2.36 mA/cm2 for C4F8 + Ar at 0–75% Ar) that determine ion fluxes to the etched surface Γ + . The local disturbance of this rule for CF4 + Ar and C4F8 + Ar plasmas at y A r < 30% ( J + , C 4 F 8 > J + , C F 4 in spite of n + , C 4 F 8   < n + , C F 4   ) is connected with differences in masses and transport coefficients for dominant positive ions.
-
The negative dc bias at W d c = const. exhibits a growth in the sequence of CHF3–CF4–C4F8 as well as decreases with increasing Ar fraction in a feed gas (Table 1). This reasonably causes the same order and behaviors of ion bombardment energies ε i (285–211 eV for CF4 + Ar, 261–208 eV for CHF3 + Ar and 307–221 eV for C4F8 + Ar at 0–75% Ar). Quite close values of M i ε i in all three gas systems allow one to conclude that corresponding differences in the efficiency of ion bombardment are mostly related to those in ion fluxes. From Figure 3, it can be understood that highest and lowest values for the parameter M i ε i Γ + under the condition of y A r < 60% are in CHF3 + Ar and CF4 + Ar plasmas, respectively. At the same time, an increase in Ar fraction above 60% changes the situation due to the rapid increase in Γ + in the C4F8 + Ar plasma.
Figure 2 represents the model-predicted data on the steady-state densities of neutral species in CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas. From Figure 2a, one can see that the dominant neutral components in pure CF4 plasma are original CF4 molecules and F atoms [51,53,55]. The decreasing densities of fluorocarbon radicals in the sequence of CF3–CF2–CF [55,66] are due to both the step-by-step formation pathway in electron-impact processes R6: CFx + e → CFx−1 + F + e as well as higher heterogeneous loss probabilities for less saturated species. The main source of fluorine atoms (~80% from the total formation rate) is represented by R6 for x = 3, 4 together with the dissociative ionization R7: CF4 + e → CF3+ + F + 2e. Accordingly, the decay of atomic species is provided by heterogeneous processes R8: F + F(s) → F2 and R9: F + CFx(s) → CFx+1, where index “(s)” points out the adsorbed state of corresponding particles. According to Figure 2b, the dominant neutral components in pure CHF3 plasma are HF, CHF3 and CFx (x = 1–3). The high density of HF (which is in good agreement with Refs. [65,66,67]) is provided by two mechanisms: a) the direct formation of these species in R10: CHF3 + e → HF + CF2 + e; and 2) the high efficiency of gas-phase reactions R11: CHFx + F → CFx + HF ( k 11 ~ 3.0 × 10−11 cm3/s for x = 1, 2), R12: CHFx + H → CHFx−1 + HF ( k 12 ~ 3.0 × 10−10 cm3/s for x = 1, 2) and R13: CFx + H → CFx−1 + HF ( k 13 ~ 8 × 10−11 cm3/s for x = 3 and ~ 4 × 10−11 cm3/s for x = 2). That is why the main formation pathways for F atoms are R14: HF + e → H + F + e and R6 for x = 2, 3. It is important to note, also, that the contribution of R11 to the total decay rate for F atoms exceeds those of R8 and R9. As follows from Figure 2c, the gas phase of pure C4F8 plasma is composed by fluorocarbon components CFx ( x = 1, 2, 3) and C2Fx ( x = 3, 4) [57,58,60]. These particles appear as the first-step dissociation products of original C4F8 molecules in R15: C4F8 + e → 2C2F4 + e and R16: C4F8 + e → C3F6 + CF2 + e as well as resulting from further decomposition of the corresponding reaction products through R6 for x = 2, R17: C3F6 + e → C2F4 + CF2 + e, R18: C2F4 + e → 2CF2 + e and R19: C2F4 + e → C2F3 + F + 2e. The main source of F atoms is given by R6 for x = 1, 2, 3 while their decay is noticeably contributed by R20: C2F4 + F → CF2 + CF3 ( k 18 ~ 4 × 10−11 cm3/c).
When analyzing data of Figure 2, one can formulate some principal conclusions concerning both the effect of Ar on gas-phase plasma characteristics and the possible reflections of corresponding phenomena on etching process kinetics. These are as follows:
  • The total density of polymerizing radicals n p o l ( n C F + n C F 2 for CF4 + Ar and C4F8 + Ar plasmas while n C F + n C F 2 + n C H F for the CHF3 + Ar plasma) increases in the sequence of CF4–CHF3–C4F8, and the gap between first and last gas systems reaches two orders of magnitude. Such a phenomenon is because the C4F8+Ar plasma provides the maximum production rate of CF2 radicals by R16, R18 and R20. An increase in y A r causes a nearly proportional decrease in n p o l in CHF3 + Ar (by ~4.2 times at 0–75% Ar) and C4F8 + Ar (by ~3.8 times at 0–75% Ar) plasmas but produces a much slower effect in the case of the CF4 + Ar gas system (by ~1.4 times at 0–75% Ar). The reason is the increasing dissociation frequencies for multi-atomic fluorocarbon species (16.2–34.4 s−1 for CF4 in R6 and R7, 28.8–51.0 s−1 for CF3 in R6 and 40.2–74.1 s−1 for CF in R6 at 0–75% Ar) due to an increase in both electron temperature and electron density. Accordingly, similar behaviors were also obtained for the total flux of polymerizing radicals to the etched surface Γ p o l (Figure 3a).
  • The density of fluorine atoms n F decreases in the sequence of CF4–C4F8–CHF3, and the gap between first and last gas systems reaches around three times. The lowest n F value in the CHF3 plasma results from the (a) low F atom formation rate because k 14 < k 6 and (b) high F decay rate in R11 that exceeds the total effect from R8 and R9. The much smaller difference in n F between CF4 + Ar and C4F8 + Ar plasmas (that is in good agreement with Ref. [68]) is only because the last system provides slightly higher F atom decay frequencies due to the contribution of R20. It can be understood, also, that as the Ar fraction in a feed increases, the F atoms’ density in all three gas systems decreases slower compared with 1 y A r . In the CF4 + Ar plasma ( n F = 1.1 × 1013 cm−3–6.4 × 1012 cm−3, or by ~1.8 times at 0–75% Ar), the reason for this phenomenon is the same behavior of the F atom formation rate in R6 and R7 due to increasing process frequencies, as was mentioned above. Oppositely, CHF3 + Ar and C4F8 + Ar plasmas exhibit nearly constant frequencies for R6, R7 and R14 (due to opposite changes in electron temperature and electron density) and, thus, a nearly proportional decrease in F atom formation rate with increasing Ar fraction in the feed gas. As such, the slow change in n F in these gas systems (3.8 × 1012 cm−3–3.0 × 1012 cm−3, or by ~1.3 times in CHF3 + Ar and 7.1 × 1012 cm−3–4.1 × 1012 cm−3, or by ~1.7 times in C4F8 + Ar at 0–75% Ar) is not connected with electron impact kinetics but results from rapidly decreasing F atom decay frequencies in gas-phase reactions R11 and R20. Similar tendencies were also obtained for the flux of fluorine atoms to the etched surface Γ F .
The comparison of Γ p o l / Γ F ratios plotted in Figure 3b allows one to suggest that the polymer deposition rate exhibits the maximum value in C4F8 + Ar plasma and reaches the minimum in CF4 + Ar plasma. Therefore, the same order is also followed for the steady-state thicknesses of polymer films, as follows from Figure 3d. Obviously, the last conclusion seems to be reasonable and is in principal agreement with differences in z / x ratio for original fluorocarbon molecules. The evident feature of the CF4 + Ar plasma is that it provides nearly constant values for Γ p o l / Γ F and Γ p o l / M i ε i Γ + Γ F under the condition of y A r = 0–75%. In fact, this means a weak effect of CF4/Ar mixing ratio on both surface polymerization rate and residual amount of polymer on the plasma-treated surface. Similar results were obtained in Ref. [16] by experiments. In contrast to the above case, an increase in y A r in CHF3 + Ar and C4F8 + Ar plasmas leads to a nearly proportional decrease in the parameter Γ p o l / M i ε i Γ + Γ F (by ~3.3 times and ~3.8 times, respectively, at 0–75% Ar) and, thus, causes a similar change in h p o l . As such, the variation of y A r in these gas systems provides a real tool to adjust both polymer film thickness and h p o l -sensitive output parameters of the RIE process. It is also worth mentioning that our model-based conclusion on the higher polymerizing ability of C4F8 + Ar plasma compared with CHF3 + Ar one has the direct experimental confirmation. In particular, Ref. [16] reported that the treatment in CHF3 plasma causes 1.5–2 times lower h p o l values on Si, SiO2 and Si3N4 surfaces compared with those after C4F8 plasma. The almost identical value of ~2.1 follows from the ratio of Γ p o l / M i ε i Γ + Γ F parameters for corresponding gas systems, as can be seen from Figure 3d. Therefore, the good correlation between the model-predicted and experimental data allows one to assume that the gas-phase-related parameters shown in Figure 3 provide adequate tracing of the polymer deposition and decomposition kinetics in given gas systems.
Figure 4a–d show etching characteristics for various silicon-based materials in CF4 + Ar and C4F8 + Ar plasmas. Since these ones represent gas systems with the maximum difference in polymerizing ability, corresponding data may serve for better understanding the features of low- and high-polymerizing plasmas. Analysis of Figure 4a,c in light of both previous etching experiences [39,41] and our data concerning fluxes of plasma active species allows one to formulate several principal suggestions about etching mechanisms and related effects. These are as follows:
  • Experiments with pure Ar plasma indicated that etching rates for all four materials (in fact, rates of physical sputtering, R p h y s ) are quite close and do not exceed 20 nm/min. The correction of corresponding values according to the change of M i ε i Γ + in fluorocarbon-containing plasmas (see Figure 3c) confirmed that the range of 0–75% Ar is characterized by R p h y s << R c h e m and R R c h e m . As such, the dominant etching pathway in all cases is the ion-assisted chemical reaction.
  • Quite similar R c h e m = f y A r curves within the given gas system may be caused by the fact that corresponding etching processes are driven by identical active species and have the same limiting stage. A similar conclusion was made in the experimental study of Standaert et al. [16] for Si, SiO2 and Si3N4 in both CHF3 and C4F8 plasmas. At the same time, the evident dissimilarity in etching rate behaviors in Figure 4a,c points to different etching mechanisms in CF4- and C4F8-based plasmas. This may be, for example, the ion-assisted chemical reaction under the condition of thin or even non-continuous polymer film (in the case of low-polymerizing CF4 + Ar plasma) or the etching regime controlled by the polymer thickness through the transport of etchant species to the film/etched surface interface (in the case of high-polymerizing C4F8 + Ar plasma).
The non-monotonic Si, SiO2 and SiC etching rates from Figure 4a contradict with the monotonically decreasing F atom flux and correspond to monotonically increasing effective reaction probabilities γ R = R c h e m / Γ F (Figure 4b). Obviously, the growth of γ R in the CF4 + Ar plasma cannot be associated with the change in h p o l because of nearly constant values for both Γ p o l / Γ F (Figure 3b) and Γ p o l / M i ε i Γ + Γ F (Figure 3d) in the range of 0–75% Ar. At the same time, γ R shows an evident agreement with the behavior of M i ε i Γ + (Figure 3c) that reflects an increase in the ion bombardment intensity toward Ar-rich plasmas. All these allow one to conclude that the F + Si/SiO2/SiC reaction kinetics are not influenced by surface polymerization but are sensitive to ion bombardment through desorption of reaction products and the formation of adsorption sites for F atoms. In fact, such a situation represents a typical case of ion-assisted chemical reaction in non-polymerizing gas systems [9,69,70]. One should also mention that similar etching behaviors for Si and SiO2 surely mean that the production of adsorption sites for F atoms in the ion-assisted process SiOx(s.) → Si(s.) + xO does not limit the SiO2 etching rate. In our opinion, such a situation is due to high ion bombardment intensity.
The monotonically increasing Si, SiO2 and SiNx etching rates from Figure 4c also contradict the change in Γ F and also correspond to increasing effective reaction probabilities for all three materials (Figure 4d). The main difference with a previous case is that the change in γ R not only follows the tendency of ε i Γ + but also demonstrates an agreement with a decrease in h p o l , as can be seen from Figure 3d. Taking into account the high polymerizing ability of C4F8-based plasmas, one can reasonably suggest that (a) the RIE process in pure C4F8 plasma is limited by the transport of etchant species through the thick polymer film and (b) the transition to Ar-rich plasmas activates a chemical reaction by providing better access of F atoms to the etched surface. As such, the formally similar changes in γ R vs. y A r in CF4 + Ar and C4F8 + Ar plasmas are caused by different mechanisms.
In order to confirm the above assumption on etching mechanisms in the C4F8 + Ar plasma, one can simply correlate our experimental data with those from published works [15,16,17,18,19,20,21,22,23]. In fact, an assumption of R γ R Γ F implies that ratios of measured etching rates for SiNx, SiO2 and Si are nearly equal to ratios of corresponding reaction probabilities. In particular, Figure 5a demonstrates the sensitivity of Si/SiNx and SiO2/SiNx etching rate ratios (in fact, the sensitivity of γ R , S i / γ R , S i N x and γ R , S i O 2 / γ R , S i N x ) to the parameter ε i Γ + characterizing the ion bombardment intensity. Even without looking at these data, one can reasonably expect that stronger sensitivity indicates a bigger difference in both etching regimes and process limiting stages for the given couple of materials. As a confirmation of this suggestion, one can see that an increase in the ion bombardment intensity just slightly increases the γ R , S i / γ R , S i N x ratio in the range of 0.44–0.52, or by ~1.2 times. As the interaction of F atoms with both Si and SiNx surfaces has a nearly spontaneous (without the energy threshold) nature, the above effect may be attributed to the slower growth in γ R , S i N x compared with γ R , S i because h p o l , S i N x < h p o l , S i [16,20]. In our opinion, it looks quite reasonable that the ion bombardment produces a weaker effect in the case of thinner polymer films, since the latter creates fewer problems for the penetration of etchant species to the treated surface. The change in the γ R , S i O 2 / γ R , S i N x ratio (0.48–0.95, or by ~2 times) exhibits the formal contradiction with h p o l , S i O 2 < h p o l , S i N x [16,20] but also has a reasonable explanation. In fact, since the direct interaction between SiO2 and F atoms is thermodynamically forbidden, the corresponding chemical etching mechanism is provided by the breaking of oxide bonds by ion bombardment [9,11,12,13]. That is why the much faster increase in γ R , S i O 2 compared with γ R , S i N x with the change in ε i Γ + may be related to the acceleration of the ion-stimulated process SiOx(s.) → Si(s.) + xO and the increasing amount of adsorption sites for F atoms. Obviously, the latter increases the probability of chemical reaction Si(s.) + xF → SiFx. In addition, Figure 5b represents all three etching rates as functions of the Γ p o l / ε i Γ + Γ F ratio, which traces the steady-state thickness of polymer film. The shapes of these curves as well as the decreasing tendencies themselves (that means the thicker polymer film, the lower the etching rate) are in good agreement with those obtained by experiments in Refs. [15,16,20,71]. All these reveal that (a) chemical etching pathways for SiNx, SiO2 and Si in C4F8 + Ar plasma are influenced by the polymer film thickness and are characterized by the h p o l -dependent reaction probability; and (b) the gas-phase-related parameter Γ p o l / ε i Γ + Γ F is an appropriate pointer to trace the steady-state h p o l value.
Since effective probabilities of ion-assisted chemical reactions in CF4 + Ar and C4F8 + Ar plasmas are influenced by different factors, one can suggest that the CF4/C4F8 mixing ratio in the three-component CF4 + C4F8 + Ar gas system may also be used to adjust the output characteristics of the RIE process. From Table 2, it can be seen that an increase in y C 4 F 8 in the range of 0–50% (in fact, the full substitution of CF4 for C4F8) leads to a noticeable increase in both electron temperature and ion current density. An increase in T e toward C4F8-rich plasmas may result from decreasing electron energy losses for vibrational and electronic excitation of dominant neutral species, as the gas phase is enriched by less saturated radicals (Figure 6a). The growth of J + follows the behavior of positive ion density due to the same change in the total ionization rate. The last phenomenon is due to (a) differences in ionization rate coefficients for dominant neutral components ( k 2 < k 3 and k 21 , where R21: C2F4 + e → C2F3+ + F + 2e) and (b) an increase in ionization rate coefficients for all neutral components together with T e . As such, the simultaneous increase in both ion flux and ion bombardment energy (that follows from the change in negative dc bias voltage at W d c = const.) provides sufficient (by ~2 times for 0–50% C4F8) intensification of ion bombardment.
The data of Figure 6a indicate that an increase in y C 4 F 8 lowers the density of CF4 molecules as well as leads to the rapid growth of n C F 2 (3.4 × 1011 cm−3–3.3 × 1013 cm−3, or by ~100 times at 0–50% C4F8) and n C 2 F 4 (6.9 × 1010 cm−3–2.3 × 1013 cm−3, or by ~330 times 0–50% C4F8). The reason is that both species directly appear from C4F8 in R15 and R16, while CF2 is also the main dissociation product of C2F4 in R18. The decreasing dissociation rate of CF4 molecules (3.4 × 1014 cm−3·s−1 –1.8 × 1014 cm−3·s−1 totally in R6 and R7 at 0–50% C4F8) suppresses the formation of CF3 radicals but does not result in a decrease in n C F 3 (2.4 × 1012 cm−3–9.2 × 1012 cm−3, or by ~4 times at 0–50% C4F8. The reason is the increasing rate of R9 that rapidly transforms CF2 into CF3. The increasing rate of R6 for x = 2 also provides an increase in n C F that contributes to the total density of polymerizing radicals at y C 4 F 8 > 25–30%). Accordingly, the growth of n C F +   n C F 2 results in the same change for the total flux of polymerizing radicals, as shown in Figure 6a. As for the kinetics of fluorine atoms, it also looks quite understandable in light of the above data on CF4 + Ar and C4F8 + Ar plasmas. In particular, an increase in electron density toward C4F8-rich plasmas as well as higher k 6 values for less saturated CFx species provide a change in the dominant formation mechanism for F atoms (from R6 for x = 4 and R7 to R6 for x = 2, 3 at y C 4 F 8 > 20%) and an increase in the total formation rate for these species (4.5 × 1014 cm−3·s−1–3.0 × 1015 cm−3·s−1, or by ~7 times 0–50% C4F8). The opposite change in F atoms’ density (by ~2 times 0–50% C4F8) is due to the rapid increase in their decay frequency in both heterogeneous (R9) and gas-phase (R20 and R22: C2F3 + F → C2F4) processes. This fact is in good agreement with experimental results for CF4 + Ar and C4F8 + Ar plasmas at input powers below 1000 W [68]. Accordingly, the same decreasing trend also occurs for the F atom flux (Figure 5b). It can also be seen that an increase in y C 4 F 8 is accompanied by a rapid increase in the polymer deposition rate (as follows from the change of Γ p o l / Γ F ratio) and h p o l (as follows from the change of the parameter Γ p o l / ε i Γ + Γ F ). All of these surely point to the transition from low- to high-polymerizing plasma.
From etching experiments (Figure 7a), it was found that an increase in y C 4 F 8 causes a monotonic decrease in both Si and SiO2 etching rates (59–13 and 70–36 nm/min, respectively, at 0–50% C4F8) as well as a more than twofold increase in SiO2/Si etching selectivity (1.2–2.8 at 0–50% C4F8). Considering that corresponding etching processes are provided by the ion-assisted chemical reaction of F atoms, the obtained differences in etching kinetics may be related to different behaviors of effective reaction probabilities. In fact, the data of Figure 7b indicate only a weak decrease in γ R , S i O 2 (0.061–0.057 at 0–50% C4F8) as well as the rapid fall of γ R , S i (0.0981–0.038 at 0–50% C4F8). Obviously, the behavior of γ R , S i correlates with increasing polymer film thickness. In other words, the substitution of CF4 for C4F8 changes the limiting stage for the Si etching process from the chemical reaction itself (in the case of low h p o l ,   S i in 50% CF4 + 50% Ar plasma) to the transport of F atoms through the thick polymer film. Accordingly, the continuously increasing h p o l ,   S i toward C4F8- rich plasmas lowers γ R , S i through decreasing Γ F / Γ F ratio, where Γ F is the flux of F atoms on the polymer film/etched surface interface. As a result, the Si etching rate decreases much faster compared with the F atom flux coming from a gas phase. At the same time, the condition h p o l , S i O 2 << h p o l , S i sufficiently weakens corresponding effects in the case of SiO2. As such, one can obtain a nearly constant γ R , S i O 2 (probably due to Γ F / Γ F ≈ const.) as well as a good quantitative correlation between corresponding etching rate and Γ F . Therefore, the change in the CF4/C4F8 mixing ratio in the CF4 + C4F8 + Ar gas system increases the differences between Si and SiO2 etching mechanisms as well as providing an effective tool to adjust the SiO2/Si etching selectivity.

3.2. Oxygenated Gas Systems

The subjects of this chapter are the ternary CF4 + O2 + Ar, CHF3 + O2 + Ar and C4F8 + O2 + Ar gas mixtures with a constant 50% fraction of the fluorocarbon component. Accordingly, the main variable parameter in all three cases was the O2/Ar mixing ratio in order to illustrate how the substitution of inert gas for the chemical active one influences gas-phase plasma parameters and RIE process characteristics. It is important to note that such a gas mixing regime is different compared with that studied for many binary fluorocarbon + O2 gas systems where an increase in y O 2 corresponds to a proportional change in the fraction of the fluorocarbon component.
From Table 3, it can be understood that the substitution of Ar for O2 in all three gas mixtures results in similar changes in electron- and ion-related plasma parameters. Corresponding results may be briefly commented as follows:
  • A decrease in T e toward O2-rich plasmas results from an increase in electron energy losses in low-threshold inelastic processes, such as vibrational and electronic excitations of both O2 itself and molecular products of plasma chemical reactions—CO, CO2, FO and CFxO (Figure 8). In particular, the lowest excitation potential for Ar is ~11.6 eV, while O2 is characterized by the almost continuous energy loss spectrum from ~0.2 eV. Such a situation is due to the vibration excitation R23: O2 (V = 0) + e → O2 (V > 0) + e ( ε 23 = 0.16 eV) as well as by the formation of metastable states in R24: O2 + e → O2 (a1∆) + e ( ε 24 = 0.98 eV) and R25: O2 + e → O2(b1∑) + e ( ε 25 = 1.64 eV).
  • A decrease in plasma density toward higher y O 2 values is provided by a combination of two evident mechanisms. First, the decreasing T e lowers the overall ionization efficiency through the change in ionization rate coefficients, k i z , for all neutral gas-phase components. The high sensitivity of k i z , to T e is because typical threshold energies ( ε i z   ≈ 12–15 eV [9]) exceed the mean electron energy (3/2 ) T e . Secondly, an increase in y O 2 introduces many electronegative species in the form of O- and F-containing reaction products. This probably accelerates losses of both electrons and positive ions in the dissociative attachment and ion–ion recombination processes. Ion current densities and ion fluxes in all three gas systems also exhibit decreasing tendencies, according to the behavior of n + .
  • An increase in negative dc bias is mainly due to the opposite change in ion flux. The reason is that the lower ion flux leads to weaker compensation for the excess negative charge provided by bias power source at W d c = const. The corresponding increase in ion bombardment energy does not overlap with the change in Γ + , so the parameter ε i Γ + characterizing the intensity of ion bombardment at M i ≈ const. also shows a decrease toward O2-rich plasmas (Figure 9).
Figure 8 illustrates the effect of y O 2 on steady-state densities of neutral species. Common features of all three gas systems are that the transition toward O2-rich plasmas (a) suppresses densities of fluorocarbon radicals and (b) provides the formation of CO2, CO, FO and CFxO molecules, with CF2O as a dominant component. At the same time, the kinetics of F atoms exhibit principal differences and are worth brief discussion.
In the CF4 + O2 + Ar plasma, the substitution of Ar for O2 noticeably reduces the F atom formation rate in R6 and R7 even under the condition of y O 2 < y A r . This is due to the simultaneous decrease in n e , n C F 4 and n C F 3 (Figure 8a). The decreasing density of CF3 radicals is the result of their conversion into CFxO species through R26: CFx + O → CFx−1O + F, R27: CFx + O(1D) → CFx−1O + F, R28: CF3 + CFO → CF4 + CO and R29: CF3 + CFO → CF2O + CF2 with the participation of O, O(1D), and CFO itself. The change in n C F 4 is reasonably similar with that for n C F 3 , as the latter provides the formation of CF4 molecules in both gas-phase and heterogeneous recombination pathways. In addition to R26 and R27, an increase in y O 2 sufficiently affects F atoms’ kinetics by (a) introducing new formation channels in the form of electron impact processes R30: CFO + e → CO + F + e and R31: CF2O + e → CFO + F + e and (b) increasing efficiency of R32: F2 + e → 2F + e. High formation rates and densities for CFO species are provided by R31 and R33: CO + F → CFO, while the same effect for CF2O molecules results from R29, R34: 2CFO → CF2O + CO and R35: CFO + F → CF2O. The acceleration of R32 results from the rapidly increasing F2 density due to R36: CF2O + O(1D) → F2 + CO2 and heterogeneous recombination of F atoms. The transition to highly oxygenated plasmas ( y O 2 > y A r ) maintains all the previously mentioned tendencies for reaction rates as well as adding one more reaction pathway contributing to the F atom formation rate, such as R37: FO + e → F + O + e. The high formation rate and density of FO species in O2-rich plasmas are provided mainly by R38: F2 + O(1D) → FO + F and the heterogeneous interaction between F and O atoms. As a result, the total effect from R30, R31 and R37 becomes greater than the sum of R6 and R7. Apart from these, the rates of the atom molecular processes R38, R39: FO + O → F + O2, R40: FO + O(1D) → F + O2, R41: 2FO → 2F + O2 and R42: CFO + O → CO2 + F increase together with y O 2 and, finally, reach levels of R30, R31 and R37. As a result, the substitution of Ar for O2 leads to the monotonic increase in the F atom production rate as well as causing the same change in the F atom density (Figure 8a). It is important to note, also, that the opposite behaviors of n F and n C F + n C F 2 surely lower the polymer deposition rate (as can be seen from Figure 9a which indicates a decrease in the Γ p o l / Γ F ratio) and create a favorable condition for the residual-less etching.
In the CHF3 + O2 + Ar plasma, an increase in O2 content in feed gas also retards the electron impact dissociation kinetics (to a greater extent than in previous cases because of deeper falls in both T e and n e ) and rapidly reduces the densities of CFx and CHFx species (Figure 8b). The fast loss of CHFx radicals is due to their effective conversion into CFxO species through R43: CHFx + O → CFxO + H and R44: CHFx + O → CFx−1O + HF. The same effect for CFx (for example, 6.3 × 1012 cm−3–7.0 × 109 cm−3, or by ~900 times for CF3 and 7.3 × 1012 cm−3–5.3 × 109 cm−3, or by ~1400 times at 0–50% O2) is connected with increasing their decay rates due to R26 and R27 as well as decreasing formation rates in CHFx + F → CFx + HF (R11). Accordingly, the total effect of CFx + e → CFx−1 + F + e (R6) and R45: CHFx + e → CHFx−1 + F + e in respect to the production of F atoms shows a decrease with increasing y O 2 value. In addition, though the increasing rate of R44 provides weak growth in the density of HF molecules, the F atom formation rate through HF + e → H + F + e (R14) also goes down due to the tenfold fall in k 14 n e (88.5–8.3 s-1 at 0–50% O2). Therefore, the substitution of Ar for O2 strongly suppresses all F atom formation pathways which work in the CHF3 + Ar plasma. Another remarkable fact is the much lower efficiency of additive F atom formation pathways with a participation of oxygen-containing species. In particular, the non-principal contributions of R31 and R23 are because corresponding rates are limited by the formation of CF2O species in R35 and the corresponding heterogeneous counterpart. Their total rate reaches the maximum at 20–30% O2 and remains lower compared with that for R14. Similarly, contributions of R37 and R39–R41 are limited by the formation rate of FO molecules in heterogeneous recombination of atomic species. The latter is also less effective compared with previous gas systems due to (a) lower O and O(1D) production rates in R46: O2 + e → 2O + e and R47: O2 + e → O + O(1D) + e and b) faster decay of oxygen atoms in R26, R27, R43 and R44. All of these result in a decrease in the total F atom formation rate toward O2-rich plasmas (4.5 × 1015 cm−3·s−1–2.0 × 1015 cm−3·s−1, or by ~2.3 times at 0–50% O2). At the same time, rapidly decreasing densities of CHFx and CFx radicals reduce the effective decay frequency for F atoms in both heterogeneous (R9) and gas-phase (R11) reactions. Since the last tendency appears to be faster compared with a decrease in the F atom formation rate, a monotonic increase in both F atom density (Figure 8b) and flux takes place. Opposite tendencies for   Γ p o l and Γ F provide a rapid decrease in the   Γ p o l / Γ F ratio toward O2-rich plasmas (Figure 9a). This corresponds to decreasing polymer deposition rate and plasma polymerizing ability.
In the C4F8 + O2 + Ar plasma, an increase in y O 2 noticeably reduces rates of R6 (due to the simultaneous decrease in T e and n e ) as well as introducing additional pathways for the decomposition of CFx radicals through CFx + O → CFx−1O + F (R26) and CFx + O(1D) → CFx−1O + F (R27). This provides the monotonic decrease in n C F x (4.7 × 1013 cm−3–1.2 × 1013 cm−3 for x = 2 and 2.9 × 1013 cm−3–1.3 × 1012 cm−3 for x = 1 at 0–50% O2, see Figure 8c) which, however, appears to be slower compared with CF4 + O2 + Ar and CHF3 + O2 + Ar plasmas. The reason is that the effective loss of O2 molecules through R48: CF + O2 → CFO + O ( k 48 ~ 3.2 × 10−11 cm3/s) and R49: C + O2 → CO + O ( k 49 ~ 2.0 × 10−11 cm3/s) limits the formation rates for O and O(1D) species in R46 and R47. The luck of oxygen atoms reduces the effect of R26, R27, R30 and R31 on the F atom formation kinetics (in fact, it provides the condition R 6 > R 26 + R 27 + R 30 + R 31 for 0–50% O2) and, thus, leads to monotonically decreasing F atom density and flux. Though changes in   Γ p o l and   Γ F are qualitatively similar, the latter appears to be slower on a quantitative scale. Such a situation corresponds to the weakly decreasing   Γ p o l / Γ F ratio (Figure 8a) that points to the same behavior of polymer deposition rate.
The data of Figure 9 allow one to summarize features of polymer deposition and decomposition kinetics in given gas systems. First, CF4-, CHF3- and C4F8- based plasmas in the presence of oxygen exhibit the same order of their polymerizing abilities, as was mentioned for non-oxygenated binary mixtures with Ar. As such, the addition of O2 up to 50% does not change the basic rule concerning the correlation between the polymerizing ability and the z / x ratio in original CxHyFz molecules. Second, the substitution of Ar for O2 in all three gas systems suppresses the polymer deposition rate through increasing the gap between densities of F atoms and polymerizing radicals. The stronger effect for CF4 + O2 + Ar and CHF3 + O2 + Ar plasmas is because of the increasing density of F atoms and opposite changes in   Γ p o l and   Γ F toward higher y O 2 values. Thirdly, the substitution of Ar for O2 in all three gas systems results in decreasing polymer film thickness. Again, the stronger change in h p o l for CF4 + O2 + Ar and CHF3 + O2 + Ar plasmas is due to the simultaneous acceleration in physical (Figure 9c) and chemical (Figure 9d) polymer decomposition pathways. In the C4F8 + O2 + Ar plasma, the decrease in ε i Γ + has almost the same slope as the change in   Γ p o l / Γ F ratio. Such a situation causes nearly constant efficiency for sputter etching of polymer film in the range of 0–50% O2.
From Figure 10a,c,e, it can be seen that an increase in y O 2 results in non-monotonic etching rates of Si, SiO2 and Si3N4 for all three gas mixtures. Taking into account that the rule R p h y s << R c h e m also works here, one can surely speak about the non-constant γ R that depends on the feed gas composition. In the case of low-polymerizing CF4 + O2 + Ar plasma, the decreasing γ R for Si and SiO2 (Figure 10b) contradicts with the change in h p o l (since the latter also decreases toward O2-rich plasmas) but shows formal agreement with ε i Γ + . At the same time, one can suggest that quite similar γ R = f y O 2 curves for Si and SiO2 mean identical mechanisms are influencing the behaviors of effective reaction probabilities for these materials, while spontaneous F + Si reaction kinetics are less sensitive to the ion bombardment intensity.
In our opinion, the similar decrease in both γ R , S i and γ R , S i O 2 is only partly supported by the change in ion bombardment intensity, but is mostly caused by heterogeneous effects with a participation of oxygen atoms. When assuming the rather thin or even the non-continuous fluorocarbon polymer film which does not influence the etching kinetics, there are at least two reasonable mechanisms which should be considered. The first one is the competitive adsorption of O atoms with a formation of oxide bonds R50: Si(s.) + O → SiO(s.), while the back process R51: SiO(s.) → Si(s.) + O has an ion-driven nature. Obviously, an increase in y O 2 accelerates R50 (due to an increase in O atom flux, see Figure 7a) under the condition of a slightly decreasing reaction yield for R51, as follows from the change in ε i Γ + . As a result, the transition toward O2-rich plasmas reduces the amount of free adsorption sites for etchant species and suppresses γ R . The second mechanism suggests that an increase in y O 2 changes the composition of reaction products toward lower volatile compounds. From Refs. [72,73,74], it can be understood that both SiClxOy and SiBrxOy compounds have much lower volatility compared with SiClx and SiBrx. Therefore, if the same rule works for the fluorine-based etching chemistry, the oxidation of reaction products R52: SiFx(s.) + yO → SiFxOy(s.) must assume their ion-stimulated desorption pathway R53: SiFxOy(s.) → SiFxOy, which is less effective compared with both spontaneous desorption of SiFx (x = 4) and ion-stimulated desorption of SiFx (x < 4). As such, the acceleration of R52 together with decreasing efficiency of R53 increases the residual amount of reaction products on the etched surface and, thus, reduces γ R through a decreasing fraction of free adsorption sites for F atoms.
In the case of high-polymerizing C4F8 + O2 + Ar plasma, an increase in γ R for Si, SiO2 and Si3N4 (Figure 10d) contradicts ε i Γ + but shows an agreement with the change in the polymer film thickness. It is probable that even full substitution of Ar for O2 still keeps the thick polymer film and, thus, does not change the process limiting stage compared with the oxygen-less C4F8 + Ar plasma. Accordingly, decreasing h p o l with higher O2 fractions in a feed gas accelerates the chemical etching pathway by providing better access of F atoms to the etched surface (in fact, by increasing the Γ F / Γ F ratio).
In the case of CHF3 + O2 + Ar plasma, one can speak about an intermediate case when an increase in y O 2 provides the transition from thick to thin polymer film. It can be suggested that under the condition of Ar-rich plasma ( y O 2 < y A r ) , the polymer film is still thick enough to limit the rate of chemical reaction through the transport of F atoms to the etched surface. That is why the increasing tendency of γ R up to 25–30% O2 for all three materials correlates with the change in h p o l . On the contrary, O2-rich plasma ( y O 2 > y A r ) provides a thin polymer film that satisfies the condition of Γ F / Γ F ≈ 1. As such, a further decrease in the amount of residual polymer does not influence both γ R   and kinetics of the chemical etching pathway. At the same time, such a situation lowers the amount of O atoms consumed for the reaction with the fluorocarbon polymer as well as increasing the O atom flux reaching the etched surface. Probably, this stimulates heterogeneous reaction pathways R50–R53 as well as lowering the effective reaction probability for F atoms through the decreasing fraction of free adsorption sites. That is why the γ R , S i = f y O 2 curve exhibits a non-monotonic shape (Figure 10d), and the bend point at about y O 2 y A r reflects the change in the process limiting stage.

4. Conclusions

In this work, we summarized and re-discussed, on a comparative scale, the results of our previous studies related to reactive ion etching kinetics and mechanisms for silicon and silicon-based materials (SiC, SiO2, SixNy and SiOxNy) in multi-component fluorocarbon gas mixtures. The latter were represented either by one fluorocarbon component (CF4, C4F8, CHF3) with Ar and O2 or by two fluorocarbon components with one additive gas. In contrast to “classical” works [39,41,75,76], we did not use surface diagnostics methods, did not measure the polymer film thickness and did not investigate its chemical structure. Instead, we performed a phenomenological study based on correlations between experimentally obtained etching kinetics and model-predicted gas-phase plasma characteristics (ion energy, ion flux, densities and fluxes of F atoms and polymerizing radicals) providing various heterogeneous effects. In our opinion, such an approach serves for a more accurate interpretation of etching mechanisms (with respect to dominant interaction pathways, limiting stages and etching regimes) and, thus, provides an additional opportunity for etching process optimization.
It was demonstrated that in both non-oxygenated and oxygenated gas systems, plasma polymerization ability (the deposition rate and the steady-state thickness of polymer film) correlates with the x / z ratio in the original CxHyFz molecule. At the same time, F atom kinetics and ions-related plasma parameters (ion flux and ion bombardment energy) are strongly dependent on individual properties of the corresponding fluorocarbon component. In CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas, an increase in Ar fraction in the feed gas always (a) results in sufficient changes in electron temperature and plasma density (due to changes in both electron energy loss balance and total ionization rate); (b) increases the intensity of ion bombardment; and (c) causes a lower-than-proportional decrease in F atom density. The last feature is due to either an increase in electron impact dissociation frequencies for CFx species (in the case of CF4 + Ar plasma) or a decrease in F atom loss frequencies in gas-phase reactions (in the case of CHF3 + Ar and C4F8 + Ar plasmas). In CF4 + O2 + Ar, CHF3 + O2 + Ar and C4F8 + O2 + Ar gas mixtures, the substitution of Ar for O2 causes similar changes in electron- and ion-related plasma parameters and suppresses densities of polymerizing radicals but has a different impact on F atom kinetics. As such, even the formally similar changes in F atom density for CF4 + O2 + Ar and CHF3 + O2 + Ar plasmas result from different plasma chemical reaction mechanisms.
It was found that the dominant etching mechanism in all cases is represented by the chemical etching pathway (since the rate of physical sputtering is much lower compared with the measured etching rate), while the latter does not follow the behavior of F atom flux. This fact points out the process-condition-dependent effective reaction probability for F atoms. The analysis of correlations between the effective reaction probability and fluxes (or flux-to-flux ratios) of plasma active species allows one to suggest the limiting stage of the etching process. Depending on the gas system, this may be the ion-stimulated desorption of reaction products, the transport of F atoms through thick polymer film or heterogeneous reactions with the participation of oxygen atoms under the condition of thin polymer film. Most of the model-predicted results are in good agreement with published experimental data on etching and polymerization kinetics in various fluorocarbon-based plasmas.

Author Contributions

Conceptualization, investigation, writing—original draft preparation, A.E.; formal analysis, data searching and arrangement, B.J.L.; project administration, supervision, validation, writing—review and editing, K.-H.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the Ministry of Trade, Industry & Energy (MOTIE) of Korea (No. 20172010105910) (B.J.L. and K.-H.K.).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The authors no conflict of interest.

References

  1. Baliga, B.J. Trends in power semiconductor devices. IEEE Trans. Electron Devices 1996, 43, 1717–1731. [Google Scholar] [CrossRef]
  2. Chelnokov, V. SiC bipolar devices. Mater. Sci. Eng. B 1992, 11, 103–111. [Google Scholar] [CrossRef]
  3. Chow, T.; Ghezzo, M. SiC power devices. MRS Online Proc. Libr. 1996, 423, 9–21. [Google Scholar] [CrossRef] [Green Version]
  4. Sze, S.M. VLSI Technology; McGraw-Hill: New York, NY, USA, 1988. [Google Scholar]
  5. Chu, T. Dielectric materials in semiconductor devices. J. Vac. Sci. Technol. 1969, 6, 25–33. [Google Scholar] [CrossRef]
  6. Niklasson, G.; Eriksson, T.; Brantervik, K. Dielectric properties of silicon oxynitride films. Appl. Phys. Lett. 1989, 54, 965–967. [Google Scholar] [CrossRef]
  7. Wörhoff, K.; Hilderink, L.; Driessen, A.; Lambeck, P. Silicon oxynitride: A versatile material for integrated optics applications. J. Electrochem. Soc. 2002, 149, F85. [Google Scholar] [CrossRef]
  8. Alayo, M.; Criado, D.; Gonçalves, L.; Pereyra, I. Deposition and characterization of silicon oxynitride for integrated optical applications. J. Non Cryst. Solids 2004, 338, 76–80. [Google Scholar] [CrossRef]
  9. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; John Wiley & Sons: Hoboken, NJ, USA, 2005. [Google Scholar]
  10. Coburn, J.W. Plasma Etching and Reactive Ion Etching; American Vacuum Society: New York, NY, USA; American Institute of Physics Inc.: College Park, MD, USA, 1982. [Google Scholar]
  11. Sugano, T.; Kim, H.-G. Applications of Plasma Processes to VLSI Technology; Wiley-Interscience: Hoboken, NJ, USA, 1985. [Google Scholar]
  12. Reece Roth, J. Industrial Plasma Engineering; IOP: Bristol, UK, 1995. [Google Scholar]
  13. Wolf, S. Silicon Processing for the VLSI Era; LATTICE: Portland, OR, USA, 1995; pp. 559–581. [Google Scholar]
  14. Van Roosmalen, A.J.; Baggerman, J.; Brader, S. Dry Etching for VLSI; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2013. [Google Scholar]
  15. Schaepkens, M.; Standaert, T.; Rueger, N.; Sebel, P.; Oehrlein, G.; Cook, J. Study of the SiO2-to-Si3N4 Etch Selectivity Mechanism in Inductively Coupled Fluorocarbon Plasmas and a Comparison with the SiO2-to-Si. J. Vac. Sci. Technol. A 1999, 17, 26. [Google Scholar] [CrossRef] [Green Version]
  16. Standaert, T.; Hedlund, C.; Joseph, E.; Oehrlein, G.; Dalton, T. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A 2004, 22, 53–60. [Google Scholar] [CrossRef] [Green Version]
  17. Lee, H.K.; Chung, K.S.; Yu, J.S. Selective etching of thick Si3N4, SiO2 and Si by using CF4/O2 and C2F6 gases with or without O2 or Ar addition. J. Korean Phys. Soc. 2009, 54, 1816–1823. [Google Scholar] [CrossRef]
  18. Kastenmeier, B.; Matsuo, P.; Oehrlein, G. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179–3184. [Google Scholar] [CrossRef] [Green Version]
  19. Lele, C.; Liang, Z.; Linda, X.; Dongxia, L.; Hui, C.; Tod, P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocarbon plasma. J. Semicond. 2009, 30, 033005. [Google Scholar] [CrossRef]
  20. Matsui, M.; Tatsumi, T.; Sekine, M. Relationship of etch reaction and reactive species flux in C4F8/Ar/O2 plasma for SiO2 selective etching over Si and Si3N4. J. Vac. Sci. Technol. A 2001, 19, 2089–2096. [Google Scholar] [CrossRef]
  21. Li, X.; Ling, L.; Hua, X.; Fukasawa, M.; Oehrlein, G.S.; Barela, M.; Anderson, H.M. Effects of Ar and O 2 additives on SiO2 etching in C4F8-based plasmas. J. Vac. Sci. Technol. A 2003, 21, 284–293. [Google Scholar] [CrossRef]
  22. Li, X.; Ling, L.; Hua, X.; Oehrlein, G.S.; Wang, Y.; Anderson, H. Characteristics of C4F8 plasmas with Ar, Ne, and He additives for SiO2 etching in an inductively coupled plasma (ICP) reactor. J. Vac. Sci. Technol. A 2003, 21, 1955–1963. [Google Scholar] [CrossRef] [Green Version]
  23. Sankaran, A.; Kushner, M.J. Etching of porous and solid SiO2 in Ar∕c-C4F8, O2∕c-C4F8 and Ar∕O2∕c-C4F8 plasmas. J. Appl. Phys. 2005, 97, 023307. [Google Scholar] [CrossRef]
  24. Stoffels, W.; Stoffels, E.; Tachibana, K. Polymerization of fluorocarbons in reactive ion etching plasmas. J. Vac. Sci. Technol. A 1998, 16, 87–95. [Google Scholar] [CrossRef] [Green Version]
  25. Lide, D.R. CRC Handbook of Chemistry and Physics; CRC Press: Boca Raton, FL, USA, 2004; Volume 85. [Google Scholar]
  26. Padiyath, R.; Wright, R.L.; Chaudhry, M.; Babu, S. Reactive ion etching of monocrystalline, polycrystalline, and amorphous silicon carbide in CF4/O2 mixtures. Appl. Phys. Lett. 1991, 58, 1053–1055. [Google Scholar] [CrossRef]
  27. Cao, L.; Li, B.; Zhao, J.H. Etching of SiC using inductively coupled plasma. J. Electrochem. Soc. 1998, 145, 3609. [Google Scholar] [CrossRef]
  28. Kimura, T.; Noto, M. Experimental study and global model of inductively coupled CF4∕O2 discharges. J. Appl. Phys. 2006, 100, 063303. [Google Scholar] [CrossRef]
  29. Venkatesan, S.P.; Trachtenberg, I.; Edgar, T.F. Modeling of silicon etching in CF4/O2 and CF4/H2 plasmas. J. Electrochem. Soc. 1990, 137, 2280. [Google Scholar] [CrossRef]
  30. Schoenborn, P.; Patrick, R.; Baltes, H.P. Numerical simulation of a CF4/O2 plasma and correlation with spectroscopic and etch rate data. J. Electrochem. Soc. 1989, 136, 199. [Google Scholar] [CrossRef]
  31. Hong, J.; Shul, R.; Zhang, L.; Lester, L.; Cho, H.; Hahn, Y.; Hays, D.; Jung, K.; Pearton, S.; Zetterling, C.-M.; et al. Plasma chemistries for high density plasma etching of SiC. J. Electron. Mater. 1999, 28, 196–201. [Google Scholar] [CrossRef] [Green Version]
  32. Ayari-Kanoun, A.; Jaouad, A.; Souifi, A.; Drouin, D.; Beauvais, J. Silicon nitride nanotemplate fabrication using inductively coupled plasma etching process. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Measur. Phenom. 2011, 29, 051802. [Google Scholar] [CrossRef] [Green Version]
  33. Chen, L.; Xu, L.; Li, D.; Lin, B. Mechanism of selective Si3N4 etching over SiO2 in hydrogen-containing fluorocarbon plasma. Microelectron. Eng. 2009, 86, 2354–2357. [Google Scholar] [CrossRef]
  34. Kastenmeier, B.; Matsuo, P.; Beulens, J.; Oehrlein, G. Chemical dry etching of silicon nitride and silicon dioxide using CF4/O2/N2 gas mixtures. J. Vac. Sci. Technol. A 1996, 14, 2802–2813. [Google Scholar] [CrossRef] [Green Version]
  35. Efremov, A.; Lee, J.; Kim, J. On the control of plasma parameters and active species kinetics in CF4+ O2+Ar gas mixture by CF4/O2 and O2/Ar mixing ratios. Plasma Chem. Plasma Process. 2017, 37, 1445–1462. [Google Scholar] [CrossRef]
  36. Lee, B.J.; Efremov, A.; Nam, Y.; Kwon, K.-H. Plasma Parameters and Silicon Etching Kinetics in C4F8+ O2+Ar Gas Mixture: Effect of Component Mixing Ratios. Plasma Chem. Plasma Process. 2020, 40, 1365–1380. [Google Scholar] [CrossRef]
  37. Lee, J.; Efremov, A.; Yeom, G.Y.; Lim, N.; Kwon, K.-H. Application of Si and SiO2 etching mechanisms in CF4/C4F8/Ar inductively coupled plasmas for nanoscale patterns. J. Nanosci. Nanotechnol. 2015, 15, 8340–8347. [Google Scholar] [CrossRef] [PubMed]
  38. Lee, J.; Efremov, A.; Kwon, K.-H. On the relationships between plasma chemistry, etching kinetics and etching residues in CF4+ C4F8+Ar and CF4+CH2F2+Ar plasmas with various CF4/C4F8 and CF4/CH2F2 mixing ratios. Vacuum 2018, 148, 214–223. [Google Scholar] [CrossRef]
  39. Lim, N.; Efremov, A.; Kwon, K.-H. Gas-phase chemistry and etching mechanism of SiNx thin films in C4F8+ Ar inductively coupled plasma. Thin Solid Films 2019, 685, 97–107. [Google Scholar] [CrossRef]
  40. Son, J.; Efremov, A.; Chun, I.; Yeom, G.Y.; Kwon, K.-H. On the LPCVD-formed SiO2 etching mechanism in CF4/Ar/O2 inductively coupled plasmas: Effects of gas mixing ratios and gas pressure. Plasma Chem. Plasma Process. 2014, 34, 239–257. [Google Scholar] [CrossRef]
  41. Lee, B.J.; Efremov, A.; Lee, J.; Kwon, K.-H. Etching Kinetics and Mechanisms of SiC Thin Films in F-, Cl-and Br-Based Plasma Chemistries. Plasma Chem. Plasma Process. 2019, 39, 325–338. [Google Scholar] [CrossRef]
  42. Efremov, A.; Murin, D.; Betelin, V.; Kwon, K.-H. Special Aspects of the Kinetics of Reactive Ion Etching of SiO2 in Fluorine-, Chlorine-, and Bromine-Containing Plasma. Rus. Microelectron. 2020, 49, 94–102. [Google Scholar] [CrossRef]
  43. Efremov, A.; Murin, D.; Kwon, K.-H. On the effect of the ratio of concentrations of fluorocarbon components in a CF4+ C4F8+ Ar mixture on the parameters of plasma and SiO2/Si etching selectivity. Rus. Microelectron. 2018, 47, 239–246. [Google Scholar] [CrossRef]
  44. Shun’ko, E.V. Langmuir Probe in Theory and Practice; Universal-Publishers: Irvine, CA, USA, 2009. [Google Scholar]
  45. Johnson, E.; Malter, L. A floating double probe method for measurements in gas discharges. Phys. Rev. 1950, 80, 58. [Google Scholar] [CrossRef]
  46. Seo, J.K.; Ko, K.-h.; Choi, W.S.; Park, M.; Lee, J.H.; Yi, J.-S. The effect of deposition RF power on the SiC passivation layer synthesized by an RF magnetron sputtering method. J. Cryst. Growth 2011, 326, 183–185. [Google Scholar]
  47. Efremov, A.; Lee, J.; Kwon, K.-H. A comparative study of CF4, Cl2 and HBr+Ar inductively coupled plasmas for dry etching applications. Thin Solid Films 2017, 629, 39–48. [Google Scholar] [CrossRef]
  48. Chun, I.; Efremov, A.; Yeom, G.Y.; Kwon, K.-H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films 2015, 579, 136–143. [Google Scholar] [CrossRef]
  49. Efremov, A.; Kim, D.-P.; Kim, C.-I. Effect of gas mixing ratio on gas-phase composition and etch rate in an inductively coupled CF4/Ar plasma. Vacuum 2004, 75, 133–142. [Google Scholar] [CrossRef]
  50. Lim, N.; Efremov, A.; Yeom, G.Y.; Kwon, K.-H. On the etching characteristics and mechanisms of HfO2 thin films in CF4/O2/Ar and CHF3/O2/Ar plasma for nano-devices. J. Nanosci. Nanotechnol. 2014, 14, 9670–9679. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  51. Efremov, A.; Kwon, K.-H.; Morgunov, A.; Shabadarova, D. Comparative study of CF4-and CHF3-based plasmas for dry etching applications. In Proceedings of the SPIE 20224, International Conference on Micro- and Nano-Electronics 2016, Zvenigorod, Russia, 2–7 October; 2016. 102241W. [Google Scholar]
  52. Efremov, A.; Murin, D.; Kwon, K.-H. Plasma Parameters and Kinetics of Active Particles in the Mixture CHF3+ O2+ Ar. Rus. Microelectron. 2020, 49, 233–243. [Google Scholar] [CrossRef]
  53. Efremov, A.; Murin, D.; Kwon, K.-H. Parameters of plasma and kinetics of active particles in CF4 (CHF3)+ Ar mixtures of a variable initial composition. Rus. Microelectron. 2018, 47, 371–380. [Google Scholar] [CrossRef]
  54. Efremov, A.; Murin, D.; Kwon, K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process. Rus. Microelectron. 2020, 49, 157–165. [Google Scholar] [CrossRef]
  55. Kimura, T.; Ohe, K. Probe measurements and global model of inductively coupled Ar/CF4 discharges. Plasma Sources Sci. Technol. 1999, 8, 553. [Google Scholar] [CrossRef]
  56. Ho, P.; Johannes, J.E.; Buss, R.J.; Meeks, E. Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data. J. Vac. Sci. Technol. A 2001, 19, 2344–2367. [Google Scholar] [CrossRef]
  57. Rauf, S.; Ventzek, P.L. Model for an inductively coupled Ar/c-C4F8 plasma discharge. J. Vac. Sci. Technol. A 2002, 20, 14–23. [Google Scholar] [CrossRef]
  58. Kokkoris, G.; Goodyear, A.; Cooke, M.; Gogolides, E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D Appl. Phys. 2008, 41, 195211. [Google Scholar] [CrossRef]
  59. NIST Chemical Kinetics Database. 2019. Available online: https://kinetics.nist.gov/kinetics/index.jsp (accessed on 15 January 2021).
  60. Vasenkov, A.V.; Li, X.; Oehrlein, G.S.; Kushner, M.J. Properties of c-C4F8 inductively coupled plasmas. II. Plasma chemistry and reaction mechanism for modeling of Ar/c-C4F8/O2 discharges. J. Vac. Sci. Technol. A 2004, 22, 511–530. [Google Scholar] [CrossRef] [Green Version]
  61. Winters, H.F.; Coburn, J.; Chuang, T. Surface processes in plasma-assisted etching environments. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1983, 1, 469–480. [Google Scholar] [CrossRef]
  62. Gray, D.C.; Tepermeister, I.; Sawin, H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1993, 11, 1243–1257. [Google Scholar] [CrossRef]
  63. Chapman, B.N. Glow Discharge Processes: Sputtering and Plasma Etching; Wiley: Hoboken, NJ, USA, 1980. [Google Scholar]
  64. Rakhimova, T.V.; Braginsky, O.V.; Klopovskiy, K.S.; Kovalev, A.S.; Lopaev, D.V.; Proshina, O.V.; Rakhimov, A.T.; Shamiryan, D.; Vasilieva, A.N.; Voloshin, D.G. Experimental and Theoretical Studies of Radical Production in RF CCP Discharge at 81-MHz Frequency in Ar/CF4 and Ar/CHF3 Mixtures. IEEE Trans. Plasma Sci. 2009, 37, 1683–1696. [Google Scholar] [CrossRef]
  65. Proshina, O.; Rakhimova, T.; Zotovich, A.; Lopaev, D.; Zyryanov, S.; Rakhimov, A. Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges. Plasma Sources Sci. Technol. 2017, 26, 075005. [Google Scholar] [CrossRef]
  66. Kimura, T.; Ohe, K. Model and probe measurements of inductively coupled CF4 discharges. J. Appl. Phys. 2002, 92, 1780–1787. [Google Scholar] [CrossRef]
  67. Takahashi, K.; Hori, M.; Goto, T. Characteristics of fluorocarbon radicals and CHF3 molecule in CHF3 electron cyclotron resonance downstream plasma. Jpn. J. Appl. Phys. 1994, 33, 4745. [Google Scholar] [CrossRef]
  68. Sasaki, K.; Kawai, Y.; Kadota, K. Determination of fluorine atom density in reactive plasmas by vacuum ultraviolet absorption spectroscopy at 95.85 nm. Rev. Sci. Instrum. 1999, 70, 76–81. [Google Scholar] [CrossRef]
  69. Lee, C.; Graves, D.; Lieberman, M.A. Role of etch products in polysilicon etching in a high-density chlorine discharge. Plasma Chem. Plasma Process. 1996, 16, 99–120. [Google Scholar] [CrossRef]
  70. Efremov, A.M.; Kim, D.-P.; Kim, C.-I. Simple model for ion-assisted etching using Cl2-Ar inductively coupled plasma: Effect of gas mixing ratio. IEEE Trans. Plasma Sci. 2004, 32, 1344–1351. [Google Scholar] [CrossRef]
  71. Biyikli, N.; Haider, A.; Deminskyi, P.; Yilmaz, M. Self-aligned nanoscale processing solutions via selective atomic layer deposition of oxide, nitride, and metallic films. In Low-Dimensional Materials and Devices 2017; International Society for Optics and Photonics: Bellingham, WA, USA, 2017; p. 103490M. [Google Scholar]
  72. Cunge, G.; Kogelschatz, M.; Joubert, O.; Sadeghi, N. Plasma–wall interactions during silicon etching processes in high-density HBr/Cl2/O2 plasmas. Plasma Sources Sci. Technol. 2005, 14, S42. [Google Scholar] [CrossRef]
  73. Tinck, S.; Boullart, W.; Bogaerts, A. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: Effects of SiO2 chamber wall coating. Plasma Sources Sci. Technol. 2011, 20, 045012. [Google Scholar] [CrossRef]
  74. Lee, B.J.; Efremov, A.; Kim, J.; Kim, C.; Kwon, K.-H. Peculiarities of Si and SiO2 Etching Kinetics in HBr+Cl2+O2 Inductively Coupled Plasma. Plasma Chem. Plasma Process. 2019, 39, 339–358. [Google Scholar] [CrossRef]
  75. Lee, J.; Kim, J.; Efremov, A.; Kim, C.; Lee, H.W.; Kwon, K.-H. Etching mechanisms and surface conditions for SiOxNy thin films in CF4+CHF3+O2 inductively coupled plasma. Plasma Chem. Plasma Process. 2019, 39, 1127–1144. [Google Scholar] [CrossRef]
  76. Nam, Y.; Efremov, A.; Lee, B.J.; Kwon, K.-H. Plasma Parameters and Etching Characteristics of SiOxNy Films in CF4+O2+ X (X= C4F8 or CF2Br2) Gas Mixtures. Materials 2020, 13, 5476. [Google Scholar] [CrossRef] [PubMed]
Figure 1. Schematic diagram of inductively coupled plasma (ICP) reactor with arrangement.
Figure 1. Schematic diagram of inductively coupled plasma (ICP) reactor with arrangement.
Materials 14 01432 g001
Figure 2. Densities of neutral species in CF4 + Ar (a), CHF3 + Ar (b) and C4F8 + Ar (c) plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W
Figure 2. Densities of neutral species in CF4 + Ar (a), CHF3 + Ar (b) and C4F8 + Ar (c) plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W
Materials 14 01432 g002
Figure 3. Fluxes and flux-to-flux ratios characterizing etching and polymerization kinetics in CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W: (a) total flux of polymerizing radicals; (b) Γ p o l / Γ F ratio characterizing polymer deposition rate; (c) parameter M i ε i Γ + characterizing polymer etching rate and (d) parameter Γ p o l / M i ε i Γ + Γ F characterizing the change in polymer film thickness due to the physical etching pathway.
Figure 3. Fluxes and flux-to-flux ratios characterizing etching and polymerization kinetics in CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W: (a) total flux of polymerizing radicals; (b) Γ p o l / Γ F ratio characterizing polymer deposition rate; (c) parameter M i ε i Γ + characterizing polymer etching rate and (d) parameter Γ p o l / M i ε i Γ + Γ F characterizing the change in polymer film thickness due to the physical etching pathway.
Materials 14 01432 g003
Figure 4. Etching rates (a,c) and effective reaction probabilities for F atoms (b,d) for various Si-based materials in CF4 + Ar and C4F8 + Ar plasmas. In (a,b): CF4 + Ar plasma at p = 6 mTorr, W = 700 W and W d c = 300 W (for SiC and SiO2) or p = 10 mTorr, W = 800 W and W d c = 300 W (for Si). In (b,c): C4F8 + Ar plasma at p = 6 mTorr, W = 900 W and W d c = 200 W.
Figure 4. Etching rates (a,c) and effective reaction probabilities for F atoms (b,d) for various Si-based materials in CF4 + Ar and C4F8 + Ar plasmas. In (a,b): CF4 + Ar plasma at p = 6 mTorr, W = 700 W and W d c = 300 W (for SiC and SiO2) or p = 10 mTorr, W = 800 W and W d c = 300 W (for Si). In (b,c): C4F8 + Ar plasma at p = 6 mTorr, W = 900 W and W d c = 200 W.
Materials 14 01432 g004
Figure 5. Correlations between gas-phase parameters and heterogeneous process characteristics for SiNx, SiO2 and Si in C4F8 + Ar plasma. In (a): effective reaction probability ratios vs. parameter ε i Γ + which traces the intensity of ion bombardment. In (b): etching rates vs. parameter Γ p o l / ε i Γ + Γ F which traces the thickness of polymer film.
Figure 5. Correlations between gas-phase parameters and heterogeneous process characteristics for SiNx, SiO2 and Si in C4F8 + Ar plasma. In (a): effective reaction probability ratios vs. parameter ε i Γ + which traces the intensity of ion bombardment. In (b): etching rates vs. parameter Γ p o l / ε i Γ + Γ F which traces the thickness of polymer film.
Materials 14 01432 g005
Figure 6. Densities (a), fluxes (b, solid lines) and flux-to-flux ratios (b, dashed lines) of active species in CF4 + C4F8 + Ar plasma at p = 4 mTorr, W = 800 W, W d c = 150 W and y A r = 50%. In (b): “pol”—polymerizing radicals; “F”—fluorine atoms; “ions”—positive ions; “pol/F”— Γ p o l / Γ F ratio characterizing polymer deposition rate; “pol/F+”—parameter Γ p o l / ε i Γ + Γ F (×10−17) characterizing the change in polymer film thickness due to the physical etching pathway under the condition of M i ≈ const.
Figure 6. Densities (a), fluxes (b, solid lines) and flux-to-flux ratios (b, dashed lines) of active species in CF4 + C4F8 + Ar plasma at p = 4 mTorr, W = 800 W, W d c = 150 W and y A r = 50%. In (b): “pol”—polymerizing radicals; “F”—fluorine atoms; “ions”—positive ions; “pol/F”— Γ p o l / Γ F ratio characterizing polymer deposition rate; “pol/F+”—parameter Γ p o l / ε i Γ + Γ F (×10−17) characterizing the change in polymer film thickness due to the physical etching pathway under the condition of M i ≈ const.
Materials 14 01432 g006
Figure 7. Etching rates (a) and effective reaction probability of F atoms (b) for Si and SiO2 in CF4 + C4F8 + 50% Ar plasma at p = 4 mTorr, W = 800 W and W d c = 150 W.
Figure 7. Etching rates (a) and effective reaction probability of F atoms (b) for Si and SiO2 in CF4 + C4F8 + 50% Ar plasma at p = 4 mTorr, W = 800 W and W d c = 150 W.
Materials 14 01432 g007
Figure 8. Densities of neutral species in 50% CF4 + O2 + Ar (a), 50% CHF3 + O2 + Ar (b) and 50% C4F8 + O2 + Ar (c) plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W. The change in y O 2 in the range of 0–50% corresponds to the full substitution of Ar for O2.
Figure 8. Densities of neutral species in 50% CF4 + O2 + Ar (a), 50% CHF3 + O2 + Ar (b) and 50% C4F8 + O2 + Ar (c) plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W. The change in y O 2 in the range of 0–50% corresponds to the full substitution of Ar for O2.
Materials 14 01432 g008
Figure 9. Fluxes and flux-to-flux ratios characterizing etching and polymerization kinetics in 50% CF4 + O2 + Ar (a), 50% CHF3 + O2 + Ar (b) and 50% C4F8 + O2 + Ar (c) plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W: (a) Γ p o l / Γ F ratio characterizing polymer deposition rate; (b) parameter ε i Γ + characterizing polymer etching rate under the condition of M i ≈ const.; (c) parameter Γ p o l / ε i Γ + Γ F characterizing the change in polymer film thickness due to the physical etching pathway; (d) parameter Γ p o l / Γ O Γ F characterizing the change in polymer film thickness due to the chemical etching pathway.
Figure 9. Fluxes and flux-to-flux ratios characterizing etching and polymerization kinetics in 50% CF4 + O2 + Ar (a), 50% CHF3 + O2 + Ar (b) and 50% C4F8 + O2 + Ar (c) plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W: (a) Γ p o l / Γ F ratio characterizing polymer deposition rate; (b) parameter ε i Γ + characterizing polymer etching rate under the condition of M i ≈ const.; (c) parameter Γ p o l / ε i Γ + Γ F characterizing the change in polymer film thickness due to the physical etching pathway; (d) parameter Γ p o l / Γ O Γ F characterizing the change in polymer film thickness due to the chemical etching pathway.
Materials 14 01432 g009
Figure 10. Etching rates (a,c,e) and effective reaction probabilities for F atoms (b,d,f) for various Si-based materials in oxygenated fluorocarbon plasmas. In (a,b): 50% CF4 + O2 + Ar plasma at p = 6 mTorr, W = 700 W and W d c = 200 W. In (b,c): 50% CHF3 + O2 + Ar plasma at p = 6 mTorr, W = 700 W and W d c = 200 W. In (e,f): 50% C4F8 + O2 + Ar plasma at p = 10 mTorr, W = 700 W and W d c = 200 W.
Figure 10. Etching rates (a,c,e) and effective reaction probabilities for F atoms (b,d,f) for various Si-based materials in oxygenated fluorocarbon plasmas. In (a,b): 50% CF4 + O2 + Ar plasma at p = 6 mTorr, W = 700 W and W d c = 200 W. In (b,c): 50% CHF3 + O2 + Ar plasma at p = 6 mTorr, W = 700 W and W d c = 200 W. In (e,f): 50% C4F8 + O2 + Ar plasma at p = 10 mTorr, W = 700 W and W d c = 200 W.
Materials 14 01432 g010
Table 1. Electron- and ion-related plasma parameters in CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W
Table 1. Electron- and ion-related plasma parameters in CF4 + Ar, CHF3 + Ar and C4F8 + Ar plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W
y A r   ( % ) CF4 + ArCHF3 + ArC4F8 + Ar
Te
(eV)
n+ (cm−3)−Udc (V)Te
(eV)
n+
(cm−3)
−Udc
(V)
Te
(eV)
n+
(cm−3)
−Udc
(V)
03.64.4 × 10102625.25.1 × 10102304.73.9 × 1010278
253.64.5 × 10102494.95.7 × 10102054.54.4 × 1010249
753.85.8 × 10101884.76.9 × 10101803.88.3 × 1010198
Table 2. Electron- and ion-related plasma parameters in CF4 + C4F8 + Ar plasma at p = 4 mTorr, W = 800 W, W d c = 150 W and y A r = 50%.
Table 2. Electron- and ion-related plasma parameters in CF4 + C4F8 + Ar plasma at p = 4 mTorr, W = 800 W, W d c = 150 W and y A r = 50%.
y C 4 F 8
(%)
Te
(eV)
J+
(mA/cm2)
n+ ≈ ne
(cm−3)
−Udc
(V)
ε i
(eV)
ε i Γ +   ( eV 1 / 2 cm 2 s 1 )
03.50.732.9 × 10101211415.4 × 1016
253.90.833.3 × 10101481696.8 × 1016
504.31.214.0 × 10101651901.0 × 1017
Table 3. Electron- and ion-related plasma parameters in 50% CF4 + O2 + Ar, 50% CHF3 + O2 + Ar and 50% C4F8 + O2 + Ar plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W.
Table 3. Electron- and ion-related plasma parameters in 50% CF4 + O2 + Ar, 50% CHF3 + O2 + Ar and 50% C4F8 + O2 + Ar plasmas at p = 6 mTorr, W = 700 W and W d c = 200 W.
y O 2   ( % ) CF4 + O2 + ArCHF3 + O2 + ArC4F8 + O2 + Ar
Te (eV)n+ (cm−3)−Udc (V)Te (eV)n+ (cm−3)−Udc (V)Te (eV)n+ (cm−3)−Udc (V)
03.64.9 × 10102154.86.2 × 10101904.84.4 × 1010212
253.53.9 × 10102393.93.9 × 10102344.04.0 × 1010238
503.43.2 × 10102503.03.0 × 10102543.13.7 × 1010269
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Efremov, A.; Lee, B.J.; Kwon, K.-H. On Relationships between Gas-Phase Chemistry and Reactive Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures. Materials 2021, 14, 1432. https://doi.org/10.3390/ma14061432

AMA Style

Efremov A, Lee BJ, Kwon K-H. On Relationships between Gas-Phase Chemistry and Reactive Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures. Materials. 2021; 14(6):1432. https://doi.org/10.3390/ma14061432

Chicago/Turabian Style

Efremov, Alexander, Byung Jun Lee, and Kwang-Ho Kwon. 2021. "On Relationships between Gas-Phase Chemistry and Reactive Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures" Materials 14, no. 6: 1432. https://doi.org/10.3390/ma14061432

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop