Next Article in Journal
Wooden-Tip Electrospray Mass Spectrometry Characterization of Human Hemoglobin in Whole Blood Sample for Thalassemia Screening: A Pilot Study
Next Article in Special Issue
Atomic-Scale Tracking of Dynamic Nucleation and Growth of an Interfacial Lead Nanodroplet
Previous Article in Journal
The LAM of the Rings: Large Amplitude Motions in Aromatic Molecules Studied by Microwave Spectroscopy
Previous Article in Special Issue
The Development of iDPC-STEM and Its Application in Electron Beam Sensitive Materials
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Dielectric Properties Investigation of Metal–Insulator–Metal (MIM) Capacitors

1
State Key Laboratory of Advanced Design and Manufacturing for Vehicle Body, College of Mechanical and Vehicle Engineering, Hunan University, Changsha 410082, China
2
Guangdong Fenghua Advanced Technology Holding Co., Ltd., Zhaoqing 526060, China
3
State Key Laboratory of Advanced Material and Electronic Components, Zhaoqing 526060, China
4
School of Physics and Chemistry, Hunan First Normal University, Changsha 410205, China
5
Key Laboratory of Applied Surface and Colloid Chemistry, Ministry of Education, School of Chemistry and Chemical Engineering, Shaanxi Normal University, Xi’an 710119, China
*
Authors to whom correspondence should be addressed.
Molecules 2022, 27(12), 3951; https://doi.org/10.3390/molecules27123951
Submission received: 3 May 2022 / Revised: 10 June 2022 / Accepted: 14 June 2022 / Published: 20 June 2022
(This article belongs to the Special Issue Advanced Energy Storage Materials and Their Applications)

Abstract

:
This study presents the construction and dielectric properties investigation of atomic-layer-deposition Al2O3/TiO2/HfO2 dielectric-film-based metal–insulator–metal (MIM) capacitors. The influence of the dielectric layer material and thickness on the performance of MIM capacitors are also systematically investigated. The morphology and surface roughness of dielectric films for different materials and thicknesses are analyzed via atomic force microscopy (AFM). Among them, the 25 nm Al2O3-based dielectric capacitor exhibits superior comprehensive electrical performance, including a high capacitance density of 7.89 fF·µm−2, desirable breakdown voltage and leakage current of about 12 V and 1.4 × 10−10 A·cm−2, and quadratic voltage coefficient of 303.6 ppm·V−2. Simultaneously, the fabricated capacitor indicates desirable stability in terms of frequency and bias voltage (at 1 MHz), with the corresponding slight capacitance density variation of about 0.52 fF·µm−2 and 0.25 fF·µm−2. Furthermore, the mechanism of the variation in capacitance density and leakage current might be attributed to the Poole–Frenkel emission and charge-trapping effect of the high-k materials. All these results indicate potential applications in integrated passive devices.

1. Introduction

As an increasing trend for next-generation radio-frequency (RF) and analog mixed-signal integrated circuits (ICs) applications, a higher level of energy storage and integration performance are required for on-chip system components to reduce chip size and fabrication cost. Metal–insulator–metal (MIM) dielectric capacitors (DCs), as one of the crucial and typical components, have been widely used in silicon integrated RF and ICs devices due to their low resistance and low parasitic capacitance [1,2,3,4,5,6,7,8]. In recent years, a great number of research studies have been devoted to developing energy and capacitance densities in energy storage fields, especially for supercapacitors (SCs) [9,10,11]. Nonetheless, the intrinsic characteristics of SCs, such as low operation voltage and poor working frequency, have greatly limited their electronic applications [12,13,14]. Compared with SCs, DCs adopt dielectric materials sandwiched between the top and bottom electrodes to achieve higher working frequency and voltage due to their fast-moving charges and high-power discharge, which can achieve MHz and exceed 5 V [15,16], respectively. The high capacitance density of MIM capacitors can be realized by using high-k dielectric materials or with a lower film thickness [17,18]. Yu et al. investigated a high-density (13 fF·µm−2) MIM capacitor using HfO2 dielectrics through atomic layer deposition (ALD) [19]. Ding and co-workers reported the use of Al2O3 dielectric film (13 nm thickness) as the insulator of a dielectric capacitor with a capacitance density of 6.05 fF·µm−2, intended for RF and mixed-signal integrated circuits applications [20]. Boris’s group focused on the dynamic random access memory application of a capacitor with TiO2-based high-k dielectrics [21]. Due to their increased integration application, most previously reported works focused on how to stack or laminate different kinds of high-k dielectric materials to achieve high capacitance density and other critical parameters, such as low leakage characteristic, good voltage linearity, and reliability. For instance, Wu et al. utilized the stack composition of TiO2 and SiO2 to achieve desirable performance in terms of a capacitance density of 11.9 fF·µm−2 with a VCC-α of 90 ppm·V−2 [22]. Additionally, Sung and co-workers proposed a laminated Al2O3/HfO2/Al2O3 MIM capacitor to estimate the leakage current and voltage linearity [23].
In these studies, HfO2, Al2O3, and TiO2 are regarded as the representative materials for fabricating MIM capacitors due to their high-k and relatively large bandgap energy. However, one of the great challenges is the constraint trade-off between high capacitance density and breakdown field strength because of the direct effect of the dielectric layer thickness on the leakage current and breakdown voltage [24,25]. Herein, we systematically study the synergistic effect of different dielectric material types and their thicknesses on the performance of DCs. Moreover, the physical morphology features and electrical characteristics of the DCs are further investigated. It is found that the Al2O3-based dielectric capacitor exhibits superior comprehensive electrical performance compared to the DCs based on HfO2 and TiO2. Moreover, the thickness of the Al2O3-based dielectric capacitor is further investigated. Accordingly, the optimized Al2O3-based dielectric capacitor with a thickness of 25 nm demonstrates a lower leakage current, a larger breakdown voltage, a stable frequency and voltage retention, and an ideal secondary voltage coefficient, providing new insights for silicon integrated RF and ICs devices.

2. Experimental Section

The basic information of the selected silicon substrate for the experiment includes crystal orientation (<100> = 0.5°), diameter (100 ± 0.2 mm), and thickness (500 ± 10 μm). Aluminum (A1 13503) used in vacuum thermal evaporation process is a specimen ( 3 × 3 mm) with a purity of 99.999%. Firstly, a layer of photoresist (PR) was spin-coated on the silicon substrate, and the bottom electrode was patterned by laser direct writing technology with a four-axis laser-pattern direct writing system (see Figure S1 for scalable electrode pattern design, Supporting Information); the final bottom electrode patterned structure was fabricated by the subsequent development process. Subsequently, about 100 nm-thick metal Al was deposited by vacuum thermal evaporation process using a JSD300 vacuum thermal evaporation coating system as a bottom electrode layer, where Al was employed to enhance conductivity while reducing the parasitic resistance of the electrode. During the entire ALD deposition process, the remaining photoresist could be decomposed spontaneously in a high-temperature environment. The dielectric layers, Al2O3, TiO2, and HfO2, were deposited by ALD with the equipment of an NCE-200R atomic layer deposition system at different temperature conditions. In detail, nitrogen gas was used as a carrier to feed the reaction sources Al(CH3)3/H2O, TiCl4/H2O and HfCl4/H2O precursors into the reaction chamber, and the temperature of the reaction chamber was 200 °C, 60 °C, and 100 °C, respectively. Additionally, the corresponding reaction rate was 0.667 nm per cycle for Al2O3, 0.031 nm per cycle for TiO2, and 0.125 nm per cycle for HfO2, respectively. Two different dielectric types (Al2O3 and TiO2) of MIM capacitors with thicknesses of 12.5 nm, 25 nm, and 50 nm were fabricated; simultaneously, HfO2 MIM capacitors with thicknesses of 12.5 nm and 25 nm were also prepared for comparisons. Then, the photoresist was secondary spin-coated on each dielectric layer, and the top electrode was patterned again using laser direct writing technology. Finally, Al of 150 nm was prepared as the top electrode with the same method described above for depositing the bottom electrode.
In the experiment, the electrode patterning equipment used a four-axis laser-pattern direct writing system (Microlab), the top and bottom electrode evaporation equipment was a JSD300 vacuum thermal evaporation coating system, and the dielectric layer deposition equipment was employed by an NCE-200R atomic layer deposition system. The morphology and roughness of the MIM capacitors were characterized by a Carl Zeiss SIGMA HD scanning electron microscope (SEM) and a Dimension Icon atomic force microscope (AFM). Insulator thicknesses of Al2O3, TiO2, and HfO2 were evaluated via an SE-VE spectroscopic ellipsometer. The entire parameter test of the samples was carried out on the low-temperature vacuum probe station, where the capacitance-voltage (C-V) and capacitance-frequency (C-Q) characteristics were measured using an Agilent 4294A semi-conductor parameter analyzer, and leakage current-voltage (J-V) characteristic measurements were carried out with a Keysight B2912A parameter tester.

3. Results and Discussion

The planar area of the MIM capacitors is designed to be 80 µm × 80 µm on an n-type single-side polished (100) silicon wafer with a resistivity of 0.01 Ω·cm, and the fabrication process is schematically illustrated in Figure 1, where the detailed preparation process corresponds to the above-mentioned fabrication of MIM silicon capacitors. Moreover, combined with micro/nanofabrication technology, the actual size can be adjusted larger according to different practical applications of the dielectric capacitors, which enables the possibility of mass production. Different from other deposition technologies for dielectric layers, such as electron beam deposition, electrodeposition, and magnetron sputtering, ALD can prepare highly pure and dense thin film, accurately controlling the thickness and composition of the required dielectric layer through reaction conditions simultaneously.
Figure 2a,b shows the SEM and cross-sectional SEM images of the fabricated MIM capacitors with a planar area of 80 µm × 80 µm. In detail, 100 nm and 150 nm Al work as the top/bottom electrode, which are uniformly evaporated by the vacuum thermal evaporation process, and 25 nm Al2O3 deposited by ALD acts as the dielectric layer. As can be seen, the manufactured MIM capacitors exhibit a step effect with the patterning of the top and bottom electrodes and the uniformity of the vacuum thermal evaporation coating in Figure 2a. Figure 2b shows a clear boundary at the interface between different layers, indicating the outstanding advantage of the compact high-k dielectric layers by low-temperature ALD deposition, film composition control, and outstanding semi-conductor compatibility [26]. Moreover, the prepared low-temperature ALD dielectric layers are amorphous, which can reduce the leakage current along the edge of the grain boundaries [27]. The dielectric capacitors based on Al2O3, TiO2, and HfO2 with controllable thicknesses are successfully fabricated by ALD, and the thicknesses of the dielectric film are measured with a spectroscopic ellipsometer. The relevant test principle is shown in Figures S2–S5, Supporting Information. Figure 2c proves that the curve fitted by the model is consistent with the experimental test curve, indicating the accuracy of the test data. The relationship between the refractive index n2 of the film layer to be measured and the thickness d can be obtained. Figure 3d shows the XPS survey spectrum to determine the chemical composition of the dielectric layer Al2O3 prepared by ALD. The XPS survey spectra represent mainly Al, O, and C contributions. The XPS measurement spectrum shows that typical peaks appear at 74 eV, 118 eV, 285 eV, 532 eV, 979 eV, and 1230 eV, representing the binding energies of Al 2p, Al 2s, and C 1s, O 1s, O KLL, and C KLL, respectively [28]. The peaks corresponding to the plasmon losses are also observed [29]. As can be seen from Figure 3d, the bulk plasmon loss peaks are detected at approximately 554 and 153 eV, corresponding to bulk plasmon energy E P = 554   eV E O   1 s = 22   eV and E P = 153   eV E Al   2 s = 35   eV . High-resolution XPS spectra of the Al 2p and O 1s are shown in Figure 2e,f. Al 2p peak could be fitted as two asymmetric single peaks. The presence of Al-O and Al-OH bonds in the Al2O3 film is confirmed by the binding energies of the Al 2p peak at 74.7 eV and 75.0 eV. The O 1s peak at 532.0 eV is relatively broad and asymmetric as it is associated with four types of bonds (Figure 2f). Further deconvolution revealed four/three distinct components, the strongest peak located at 531.2 eV originated from Al-O bonds, and the other peak at 532.0 eV associated with Al-O-H hydroxyl groups appeared because of the water-containing raw materials for Al2O3 film growth due to ALD [30]. The peak at 532.9 eV for the Al2O3 film is due to C=O radicals. The peak at 530.5 eV for the Al2O3 film could be related to adsorbed oxygen [31].
To further verify the morphology and roughness of the dielectric layer after ALD deposition, the as-prepared samples with different dielectric materials and thicknesses are measured by AFM. Figure 3a–c shows the topographies of Al2O3, TiO2, and HfO2 film with a thickness of 25 nm-based MIM capacitors. The analysis result reflects that the root-mean-square (Rq) roughness values of these three materials are only 2.18 nm, 2.57 nm, and 2.22 nm, which can indirectly depict the special surface area [32,33,34]. It was noticed that there are certain white “defects” in the film itself after ALD deposition, and these white “defects” actually refer to the peaks of the surface undulation of the dielectric layer. The defects of the TiO2 film in Figure 3b are more pronounced, indicating that the film quality of TiO2 is inferior to that of Al2O3 and HfO2, which is mainly due to a lower deposition temperature. Figure 3d shows the linear contour fluctuations in the directions indicated by the black, red, and blue lines in Figure 3a–c. It can be seen that the linear fluctuation of TiO2 is the largest, while the profile fluctuations of Al2O3 and HfO2 are relatively more stable. Additionally, it can be concluded that the 25 nm dielectric films deposited by ALD display better uniformity and compactness compared to the 12.5 nm and 50 nm deposition (Figures S6 and S7, Supporting Information).
Figure 4 indicates the typical J-V characteristics of the leakage current density and breakdown voltage under positive and negative voltages. In general, the breakdown strength of the MIM capacitor reflects the device lifetime [35]. Therefore, a continuously increasing voltage is applied to the capacitor until electrical breakdown occurs. With the increase in the voltage, the resulting high electric field broke down the layer of dielectric capacitors. Take the diagram of Al2O3 dielectric capacitors in Figure 4a as an example for detailed analysis, it is found that the MIM capacitor with a 12.5 nm Al2O3 dielectric structure has a low leakage current density of about 5.3 × 10−9 A·cm−2 at 4.3 V, which meets the requirement of high-density capacitor applications [36]. Simultaneously, the structures of 25 nm and 50 nm capacitors were measured for high breakdown voltages rising from 12 V to 21.6 V, and the corresponding leakage current densities up to 1.4 × 10−10 A·cm−2 and 2.4 × 10−11 A·cm−2, respectively. High capacitance density is one of the vital indicators for evaluating the quality of capacitors, and its variations are mainly caused by the charge-trapping effect between the electrode and the surface of the dielectric [37,38]. However, the increase in the breakdown voltage is largely at the expense of capacitance density. Therefore, in different applications (power supply bypass, high-density, high-precision capacitors), the selection of the optimal parameters should be considered comprehensively.
The J-V curve can be divided into two regions at 4.6 V, where the leakage current densities increase sharply with the bias voltage (breakdown voltage). This can be explained by the different conductive mechanisms of leakage current, namely Schottky emission and Poole–Frenkel emission at low and high electric fields [5,36,39], which are shown by (1) and (2), respectively.
J = A T 2   e x p   [ ( q S β S E 1 / 2 ) / k T ]
J = C E   e x p   [ ( q P F β P F E 1 / 2 ) / k T ]
where A and C are constants; T is the temperature in kelvin, 298 K; E is the electric field; E = U/d; q is the electron charge; S is the barrier height of the interface between the dielectric and injecting electrode for Schottky emission; PF is the trap height in the dielectric for PF emission; k is the Boltzmann constant; βS and βPF are (q3/πε0n2)1/2 and (q3/πε0n2)1/2, respectively, in which ε0 is a permittivity in a vacuum; and n is the refractive index.
Equations (1) and (2) are fitted to the experimental data and extract the n value of the refractive index from the slope of the fitted curve, which further indicates that the conduction mechanism of the MIM capacitor is dominated by PF emission at high electric region. In fact, the neutral electron traps in high-k materials are abundantly generated under an applied electric field, resulting in an increase in leakage current. Hence, the leakage current is dominated by the trap-assisted-tunneling in the low electric field region. As can be seen, the leakage currents decrease with the increasing dielectric thickness. On the contrary, in the case of the same film thickness, the increase in the bias voltage proves that the traps still exist within the films prepared by ALD, which is possibly related to the parameters of the preparation process, including temperature, vacuum, and substrate crystallinity [40]. In particular, the breakdown voltages and leakage current densities for TiO2 of 13.52 nm, 26.10 nm, and 52.54 nm are 0.44 V and 2.3 × 10−8 A·cm−2, 0.58 V and 1.7 × 10−8 A·cm−2, and 0.85 V and 1.4 × 10−9 A·cm−2. Moreover, for HfO2, the breakdown voltages and leakage current densities of 10.51 nm and 21.29 nm-thick dielectric capacitors are 0.8 V and 2.4 × 10−9 A·cm−2 and 9.9 V and 8.4 × 10−10 A·cm−2, respectively. From the perspective of dielectric materials, the J-V curves indicate that Al2O3 holds better withstand voltage characteristics, which is possibly due to the superior compactness of dielectric film fabricated at 200 °C.
Figure 5a–c demonstrates the dependence of capacitance density as a function of frequency. As can be seen, the capacitance density of Al2O3 MIM capacitors with different thicknesses (12.10 nm, 24.72 nm, and 49.73 nm) exhibit a slight degradation from 0 to 1 MHz, and the maximum change values are only 1.45, 0.54, and 0.17 fF·µm−2, which reflects the excellent dielectric characteristic of Al2O3 MIM capacitors with frequencies. Nevertheless, under the same preparation conditions, the capacitance densities of TiO2 vary in the range of 5.70, 3.48, and 3.20 fF·µm−2, respectively. For HfO2, the corresponding values are 2.51 and 2.03 fF·µm−2. Compared with Al2O3 dielectric films, neither TiO2 nor HfO2 are relatively satisfactory results. Thus, it can be concluded that the large bandgap energy (8.9 eV) of the larger barrier type Al2O3 brings excellent frequency stability, while the bandgap energies of TiO2 and HfO2 are only 3.2 eV and 5.6 eV, respectively [23]. The large bandgap leads to a higher energy requirement of the electrons in the semi-conductor material when the energy level transition occurs, thereby providing a relatively stable capacitance density capability for the MIM capacitor. As can be seen from Figure 5b,c, there are a large number of charge traps near the interface between electrodes and dielectric layers, and the electrons still become inactive when the frequency increases [41]. Therefore, the high bandgap barrier capacitor has fewer charge traps near the surface of the dielectric layer, or these traps make the capacitance density only function in the low-frequency range [42,43]. In either case, the above two situations are conducive to maintaining the capacitance density of Al2O3 MIM capacitors stable with frequency. As confirmed in Figure 5a–c, the capacitance densities for 12.10 nm, 24.72 nm, and 49.73 nm Al2O3 capacitors are 13.19 fF·µm−2, 7.89 fF·µm−2, and 4.62 fF·µm−2, respectively.
Figure 5d–f reflects the variation of capacitance with applied voltage for Al2O3 MIM capacitors at different frequencies. It can be inferred from Figure 5d,e that the overall capacitance density of the 12.5 nm-thickness Al2O3 dielectric capacitor decreases slightly with the bias voltages, and the 25 nm-thickness capacitor increases slightly, whereas the increase and decrease in the maximum values are only 1.19 fF·µm−2 and 0.25 fF·µm−2. The reason for the different variation trends may be related to the thickness of the amorphous structures prepared by low-temperature ALD deposition. As is well-known, capacitance density is significantly sensitive to dielectric (Al2O3) thickness due to the relatively low dielectric constant of Al2O3. It can be seen from Figure 5d–f that the 12.5 nm and 25 nm-thick Al2O3 MIM capacitors show a slight change with voltage, while the 50 nm-thick Al2O3 MIM capacitors remain almost unchanged at the same voltage bias. In general, the capacitance densities of Al2O3 capacitors change by 0.45% under the frequency of 103 Hz, 104 Hz, 105 Hz, and 106 Hz, indicating exceptional stability. At the same time, they show higher capacitance characteristics under the low-frequency region, which is related to the charge trapping effect on the surface of the electrode and the dielectric layer. That is, when a bias voltage is applied to the electrode, the traps in the dielectric layer cause the charge trapping of the carriers, leading to the change of capacitance with frequency [44].
Previously, we measured and analyzed the C-Q and C-V characteristic curves of MIM capacitors with three thicknesses represented by Al2O3. Combined with C-Q and C-V curves, a higher dielectric layer thickness has better stability in terms of bias voltage and frequency. Nonetheless, the obtained capacitance density will be reduced, due to the working principle of planar capacitors, and the conclusion is in line with our expectations. For this reason, taking a 25 nm dielectric layer thickness as an example, the variation trends of three kinds of dielectric materials with increasing bias voltage and frequency are also discussed. Figure 6a–c reflects the dependence of capacitance density with Al2O3, TiO2, and HfO2 dielectric materials as a function of frequency. It is observed that the capacity densities of the three dielectric capacitors decrease slightly with frequency, but the values do not change much. Among them, the maximum change rate for Al2O3, TiO2, and HfO2 is only 0.5 fF·µm−2, 3.5 fF·µm−2, and 1.9 fF·µm−2, respectively. At the same time, the capacitance density of the TiO2 dielectric films has large fluctuations with frequency, which is related to a large number of defects on the surface of TiO2 films prepared by ALD deposition under 60 °C and vacuum conditions. The calculated average capacitance densities are 7.89 fF·µm−2 (24.72 nm Al2O3), 21.08 fF·µm−2 (26.20 nm TiO2), and 12.29 fF·µm−2 (21.29 nm HfO2). Figure 6d–f exhibits the voltage stability with different dielectric materials. It should be pointed out that the capacitance density of TiO2 has a relatively large fluctuation at 1 kHz, which further proves the structure morphology’s direct impact on the electrochemical performance of the MIM capacitors.
The voltage coefficients of capacitance (VCCs) are one of the most important parameters to evaluate the voltage linearity of the MIM capacitor, and it is the key factor to consider in the application of bypass power supply and the radio frequency circuit, which can be determined by an equation [45] and combined with experimental data to fit VCCs. C (V) = C0 (αV2 + βV + 1) → (C (V) − C0)/C0 = [αV2 + βV]ppm, where C0 represents the zero-bias capacitance, α and β are the quadratic and linear voltage coefficients, respectively. Among them, the quadratic voltage coefficient α is the most critical parameter for evaluating voltage linearity, where β can be eliminated by circuit design or canceled out by differential methods [18,46,47]. Figure 7 indicates the normalized capacitance of the 25 nm Al2O3 MIM capacitors with bias voltages of 104 Hz, 105 Hz, and 106 Hz. It can be seen that as the frequency increases, the normalized capacitance slope shows a downward trend, while the quadratic voltage coefficient α values decrease from 329.1 ppm·V−2 to 270.5 ppm·V−2, which is attributed to the increase in the relaxation time and the smaller capacitance variation caused by the gradually increasing high frequency [48].

4. Conclusions

In this work, three types of high-performance MIM dielectric capacitors (Al2O3, TiO2, and HfO2, with an area of 80 µm × 80 µm) on a silicon substrate are successfully fabricated by the combination of vacuum thermal evaporation, laser direct writing technology, and atomic layer deposition (ALD). The physical morphology and electrochemical properties of the as-prepared MIM dielectric capacitors are also systematically investigated. The prepared samples show a certain discrepancy in compactness and surface defects. Among them, the Al2O3 and HfO2 insulators demonstrate better compactness and fewer surface defects, while the TiO2 insulator shows a relatively poor preparation effect, which is related to the fabrication process and substrate defects. Through the precisely controlled micro-machining processes, the manufactured 25 nm Al2O3 capacitor exhibits a capacitance density up to 7.89 fF·µm−2, a leakage current lower than 1.4 × 10−10 A·cm−2, a breakdown voltage of 12 V, and a breakdown electric field of 4.8 MV·cm−1, which can satisfy the requirements of integrated passive devices for capacitors. Based on the restrictive trade-off between leakage current and capacitance density, the relationship between dielectric layer material and thickness is further discussed. Three-dimensional (3D) multilayer silicon-based capacitors are believed to achieve a larger capacitance density, and different 3D structures may have a great influence on the current distribution and the performance effect of MIM capacitors, which are directions worth studying in the future.

Supplementary Materials

The following supporting information can be downloaded at: https://www.mdpi.com/article/10.3390/molecules27123951/s1, Figure S1. MIM capacitor electrode structure pattern design, arrange 30 unit patterns in a 3 cm × 3 cm area to form an array; Figure S2. Reflection and refraction of light on thin film and substrate systems; Figure S3. The dielectric capacitor thicknesses of 12.5 nm, 25 nm and 50 nm Al2O3 are completed by ALD and measured with a spectroscopic ellipsometer. (a) 12.5 nm Al2O3 dielectric film thickness test result. (b) 25 nm Al2O3 dielectric film thickness test result. (c) 50 nm Al2O3 dielectric film thickness test result; Figure S4. The dielectric capacitor thicknesses of 12.5 nm, 25 nm and 50 nm TiO2 are completed by ALD and measured with a spectroscopic ellipsometer. (a) 12.5 nm TiO2 dielectric film thickness test result. (b) 25 nm TiO2 dielectric film thickness test result. (c) 50 nm TiO2 dielectric film thickness test result; Figure S5. The dielectric capacitor thicknesses of 12.5 nm, 25 nm and 50 nm HfO2 are completed by ALD and measured with a spectroscopic ellipsometer. (a) 12.5 nm HfO2 dielectric film thickness test result. (b) 25 nm HfO2 dielectric film thickness test result. (c) 50 nm HfO2 dielectric film thickness test result; Figure S6. AFM images of MIM capacitors deposited with 12.5 nm Al2O3, TiO2, HfO2 dielectric material, respectively. (a) ALD deposition of Al2O3 dielectric material, (b) ALD deposition of TiO2 dielectric material, (c) ALD deposition of HfO2 dielectric material; Figure S7. AFM images of MIM capacitors deposited with 50 nm Al2O3, TiO2 dielectric material, respectively. (a) ALD deposition of Al2O3 dielectric material, (b) ALD deposition of TiO2 dielectric material.

Author Contributions

Conceptualization, G.Z.; writing-original draft, L.X.; visualization, J.H.; investigation, L.X., J.H., Z.Y.; project administration, X.L.; software, H.Z.; resources, G.Z., Z.Y., L.X. and J.H. contributed equally to this work. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Science and Technology Innovation Program of Hunan Province, grant number 2021RC3052, the National Natural Science Foundation of China, grant number 52175534, the Fundamental Research Funds for the Central Universities, grant number 531118090016. X.L. is grateful for the Research Foundation of Education Bureau of Hunan Province, grant number 18B477, H.Z. is grateful for the financial support from the Natural Science Foundation of Shaanxi Province, grant number 2019JQ-412.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available in the article.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Qu, X.L.; He, Y.C.; Qu, M.H.; Ruan, T.Y.; Chu, F.H.; Zheng, Z.L.; Ma, Y.B.; Chen, Y.P.; Ru, X.N.; Xu, X.X.; et al. Identification of embedded nanotwins at c-Si/a-Si: H interface limiting the performance of high-efficiency silicon heterojunction solar cells. Nat. Energy 2021, 6, 194–202. [Google Scholar] [CrossRef]
  2. Bertaud, T.; Blonkowski, S.; Bermond, C.; Vallee, C.; Gonon, P.; Gros-Jean, M.; Flechet, B. Frequency effect on voltage linearity of ZrO2-based RF metal-insulator-metal capacitors. IEEE Electron Device Lett. 2009, 31, 114–116. [Google Scholar] [CrossRef]
  3. Mondal, S.; Shih, S.J.; Chen, F.H.; Pan, T.M. Structural and electrical characteristics of Lu2O3 dielectric embedded MIM capacitors for analog IC applications. IEEE Trans. Electron Devices 2012, 59, 1750–1756. [Google Scholar] [CrossRef]
  4. Dou, L.; Lin, Y.H.; Nan, C.W. An overview of linear dielectric polymers and their nanocomposites for energy storage. Molecules 2021, 26, 6148. [Google Scholar] [CrossRef]
  5. Mu, J.; Chou, X.; Ma, Z.; He, J.; Xiong, J. High-performance MIM capacitors for a secondary power supply application. Micromachines 2018, 9, 69. [Google Scholar] [CrossRef] [Green Version]
  6. Khaldi, O.; Jomni, F.; Gonon, P.; Mannequin, C.; Yangui, B. Investigation of electrical properties of HfO2 metal–insulator–metal (MIM) devices. Appl. Phys. A 2014, 116, 1647–1653. [Google Scholar] [CrossRef]
  7. Chen, T.W.; Shuang, Z.W.; Hu, J.; Zhao, Y.L.; Wei, D.H.; Ye, J.H.; Zhang, G.H.; Duan, H.G. Freestanding 3D metallic micromesh for high-performance flexible transparent solid-state zinc batteries. Small 2022, 18, 2201628. [Google Scholar] [CrossRef]
  8. He, G.; Gao, J.; Chen, H.-S.; Cui, J.-B.; Sun, Z.-Q.; Chen, X.-S. Modulating the interface quality and electrical properties of HfTiO/InGaAs gate stack by atomic-layer-deposition-derived Al2O3 passivation layer. ACS Appl. Mater. Interfaces 2014, 6, 22013–22025. [Google Scholar] [CrossRef]
  9. Zhang, G.H.; Hu, J.; Nie, Y.; Zhao, Y.L.; Wang, L.; Li, Y.Z.; Liu, H.Z.; Tang, L.Z.; Zhang, X.N.; Li, D.; et al. Integrating flexible ultralight 3D Ni micromesh current collector with NiCo bimetallic hydroxide for smart hybrid supercapacitors. Adv. Funct. Mater. 2021, 31, 2100290. [Google Scholar] [CrossRef]
  10. Wu, C.R.; Zhang, F.; Xiao, X.S.; Chen, J.Y.; Sun, J.Q.; Gandla, D.; Ein-Ein, Y.; Tan, D.Q. Enhanced electrochemical performance of supercapacitors via atomic layer deposition of ZnO on the activated carbon electrode material. Molecules 2021, 26, 4188. [Google Scholar] [CrossRef]
  11. Askari, M.B.; Salarizadeh, P.; Beheshti-Marnani, A.; Di Bartolomeo, A. NiO-Co3O4-rGO as an efficient electrode material for supercapacitors and direct alcoholic fuel cells. Adv. Mater. Interfaces 2021, 8, 2100149. [Google Scholar] [CrossRef]
  12. Oakes, L.; Westover, A.; Mares, J.W.; Chatterjee, S.; Erwin, W.R.; Bardhan, R.; Weiss, S.M.; Pint, C.L. Surface engineered porous silicon for stable, high performance electrochemical supercapacitors. Sci. Rep. 2013, 3, 3020. [Google Scholar] [CrossRef]
  13. Li, W.; Liu, Q.; Fang, Z.; Wang, L.; Chen, S.; Gao, F.; Ji, Y.; Yang, W.; Fang, X. All-solid-state on-chip supercapacitors based on free-standing 4H-SiC nanowire arrays. Adv. Energy Mater. 2019, 9, 1900073. [Google Scholar] [CrossRef]
  14. Liu, H.; Zhang, G.; Zheng, X.; Chen, F.; Duan, H. Emerging miniaturized energy storage devices for microsystem applications: From design to integration. Int. J. Extrem. Manuf. 2020, 2, 042001. [Google Scholar] [CrossRef]
  15. Ho, C.S.; Chang, S.J.; Chen, S.C.; Liou, J.; Li, H. A reliable Si3N4/Al2O3-HfO2 stack MIM capacitor for high-voltage analog applications. IEEE Trans. Electron Devices 2014, 61, 2944–2949. [Google Scholar]
  16. Strambini, L.; Paghi, A.; Mariani, S.; Sood, A.; Kalliomäki, J.; Järvinen, P.; Toia, F.; Scurati, M.; Morelli, M.; Lamperti, A. Three-dimensional silicon-integrated capacitor with unprecedented areal capacitance for on-chip energy storage. Nano Energy 2020, 68, 104281. [Google Scholar] [CrossRef]
  17. Spahr, H.; Nowak, C.; Hirschberg, F.; Reinker, J.; Kowalsky, W.; Hente, D.; Johannes, H. Enhancement of the maximum energy density in atomic layer deposited oxide based thin film capacitors. Appl. Phys. Lett. 2013, 103, 042907. [Google Scholar] [CrossRef]
  18. He, G.; Liu, J.; Chen, H.; Liu, Y.; Sun, Z.; Chen, X.; Liu, M.; Zhang, L. Interface control and modification of band alignment and electrical properties of HfTiO/GaAs gate stacks by nitrogen incorporation. J. Mater. Chem. C 2014, 2, 5299–5308. [Google Scholar] [CrossRef]
  19. Yu, X.; Zhu, C.; Hu, H.; Chin, A.; Li, M.F.; Cho, B.J.; Kwong, D.L.; Foo, P.D.; Yu, M.B. A high-density MIM capacitor (13 fF/μm2) using ALD HfO2 dielectrics. IEEE Electron Device Lett. 2003, 24, 63–65. [Google Scholar]
  20. Ding, S.J.; Huang, Y.J.; Huang, Y.; Pang, S.H.; Zhang, W.; Wang, L.K. High density Al2O3/TaN-based metal–insulator–metal capacitors in application to radio frequency integrated circuits. Chin. Phys. 2007, 16, 2803. [Google Scholar]
  21. Hudec, B.; Hušeková, K.; Tarre, A.; Han, J.H.; Han, S.; Rosová, A.; Lee, W.; Kasikov, A.; Song, S.J.; Aarik, J.; et al. Electrical properties of TiO2-based MIM capacitors deposited by TiCl4 and TTIP based atomic layer deposition processes. Microelectron. Eng. 2011, 88, 1514–1516. [Google Scholar] [CrossRef]
  22. Wu, Y.H.; Ou, W.Y.; Lin, C.C.; Wu, J.R.; Wu, M.L.; Chen, L.L. MIM capacitors with crystalline-TiO2/SiO2 stack featuring high capacitance density and low voltage coefficient. IEEE Electron Device Lett. 2011, 33, 104–106. [Google Scholar] [CrossRef]
  23. Lee, S.K.; Kim, K.S.; Kim, S.W.; Park, S.J.; Kim, S. Characterizing voltage linearity and leakage current of high density Al2O3/HfO2/Al2O3 MIM capacitors. IEEE Electron Device Lett. 2011, 32, 384–386. [Google Scholar] [CrossRef]
  24. Suárez-Campos, G.; Cabrera-German, D.; Castelo-González, A.O.; Avila-Avendano, C.; Ríos, J.F.; Quevedo-López, M.A.; Aceves, R.; Hu, H.; Sotelo-Lerma, M. Characterization of aluminum oxide thin films obtained by chemical solution deposition and annealing for metal–insulator–metal dielectric capacitor applications. Appl. Surf. Sci. 2020, 513, 145879. [Google Scholar] [CrossRef]
  25. Lee, J.H.; Park, B.E.; Thompson, D.; Choe, M.; Lee, Z.; Oh, I.K.; Kim, W.H.; Kim, H. Improved interface quality of atomic-layer-deposited ZrO2 metal-insulator-metal capacitors with Ru bottom electrodes. Thin Solid Film. 2020, 701, 137950. [Google Scholar] [CrossRef]
  26. Bylund, M.; Andersson, R.; Krause, S.; Saleem, A.M.; Marknäs, V.; Passalacqua, E.; Kabir, M.S.; Desmaris, V. Robustness of carbon nanofiber-based MIM capacitors with ultra-high capacitance density to electrical and thermal stress. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 2139–2144. [Google Scholar]
  27. Kun, P.; Biao, W.; Deyuan, X.; Shengfen, Q.; Lin, D.C.; Ping, W.; Yang, S.F. TDDB improvement by optimized processes on metal–insulator–silicon capacitors with atomic layer deposition of Al2O3 and multi layers of TiN film structure. J. Semicond. 2009, 30, 082005. [Google Scholar] [CrossRef]
  28. Iatsunskyi, I.; Kempinski, M.; Jancelewicz, M.; Zaleski, K.; Jurga, S.; Smyntyna, V. Structural and XPS characterization of ALD Al2O3 coated porous silicon. Vacuum 2015, 113, 52–58. [Google Scholar] [CrossRef]
  29. Yubero, F.; Holgado, J.P.; Barranco, A.; Gonzalez-Elipe, A.R. Determination of surface nanostructure from analysis of electron plasmon losses in XPS. Surf. Sci. Anal. 2002, 34, 201–205. [Google Scholar] [CrossRef]
  30. Zheng, L.; Cheng, X.H.; Cao, D.; Wang, G.; Wang, Z.J.; Xu, D.W.; Xia, C.; Shen, L.Y.; Yu, Y.H.; Shen, D.S. Improvement of Al2O3 Films on Graphene Grown by Atomic Layer Deposition with Pre-H2O Treatment. ACS Appl. Mater. Interfaces 2014, 6, 7014–7019. [Google Scholar] [CrossRef]
  31. Wu, Y.; Mayer, J.T.; Garfunkel, E.; Madey, T.E. X-ray photoelectron spectroscopy study of water adsorption on BaF2(111) and CaF2(111) surfaces. Langmuir 1994, 10, 1482–1487. [Google Scholar] [CrossRef]
  32. Mu, J.; Chou, X.; He, T.; Ma, Z.; He, J.; Xiong, J. Fabrication of high aspect ratio silicon micro-structures based on aluminum mask patterned by IBE and RIE processing. Microsyst. Technol. 2016, 22, 215–222. [Google Scholar] [CrossRef]
  33. Yao, S.; Yuan, J.; Gheeraert, E.; Sylvestre, A. Carbon nanotube forest based electrostatic capacitor with excellent dielectric performances. Carbon 2017, 116, 648–654. [Google Scholar] [CrossRef]
  34. Zhang, J.W.; He, G.; Zhou, L.; Chen, H.S.; Chen, X.S.; Chen, X.F.; Chen, B.D.; Lv, J.G.; Sun, Z.Q. Microstructure optimization and optical and interfacial properties modulation of sputtering-derived HfO2 thin films by TiO2 incorporation. J. Alloy. Compd. 2014, 611, 253–259. [Google Scholar] [CrossRef]
  35. Takeda, K.I.; Hinode, K.; Oodake, I.; Oohashi, N.; Yamaguchi, H. Enhanced dielectric breakdown lifetime of the copper/silicon nitride/silicon dioxide structure. In Proceedings of the 36th Annual 1998 IEEE International Reliability Physics Symposium Proceedings, Reno, NV, USA, 31 March–2 April 1998; pp. 36–41. [Google Scholar]
  36. Kwak, H.Y.; Kwon, H.M.; Jung, Y.J.; Kwon, S.K.; Jang, J.H.; Choi, W.I.; Ha, M.L.; Lee, J.I.; Lee, S.J.; Lee, H.D. Characterization of Al2O3–HfO2–Al2O3 sandwiched MIM capacitor under DC and AC stresses. Solid State Electron. 2013, 79, 218–222. [Google Scholar] [CrossRef]
  37. Soo, C.K.; Sang, K.L.; Seung, M.K.; Hyeon, J.H.; Byoung, H.L. Quantitative defect density extraction method for metal-insulator-metal capacitor. Semicond. Sci. Technol. 2020, 35, 115025. [Google Scholar]
  38. Rosenbaum, E.; Wu, J. Trap generation and breakdown processes in very thin gate oxides. Microelectron. Reliab. 2001, 41, 625–632. [Google Scholar] [CrossRef]
  39. Padmanabhan, R.; Bhat, N.; Mohan, S. High-performance metal–insulator–metal capacitors using europium oxide as dielectric. IEEE Trans. Electron Devices 2012, 59, 1364–1370. [Google Scholar] [CrossRef]
  40. Smitha, P.S.; Babu, V.S.; Shiny, G. Annealing effects on capacitance density and voltage coefficient of capacitance (VCC) of thin-film capacitor for energy harvesting application. In Proceedings of the 2019 TEQIP III Sponsored International Conference on Microwave Integrated Circuits, Photonics and Wireless Networks (IMICPW), Tiruchirappalli, India, 22–24 May 2019; pp. 223–227. [Google Scholar]
  41. Hourdakis, E.; Nassiopoulou, A.G. High performance MIM capacitor using anodic alumina dielectric. Microelectron. Eng. 2012, 90, 12–14. [Google Scholar] [CrossRef]
  42. Mahata, C.; Bera, M.K.; Hota, M.K.; Das, T.; Mallik, S.; Majhi, B.; Verma, S.; Bose, P.K.; Maiti, C.K. High performance TaYOx-based MIM capacitors. Microelectron. Eng. 2009, 86, 2180–2186. [Google Scholar] [CrossRef]
  43. Hourdakis, E.; Travlos, A.; Nassiopoulou, A.G. High-performance MIM capacitors with nanomodulated electrode surface. IEEE Trans. Electron Devices 2015, 62, 1568–1573. [Google Scholar] [CrossRef]
  44. Huang, Y.J.; Huang, Y.; Ding, S.J.; Zhang, W.; Ran, L. Electrical characterization of metal-insulator-metal capacitors with atomic-layer-deposited HfO2 dielectrics for radio frequency integrated circuit application. Chin. Phys. Lett. 2007, 24, 2942–2944. [Google Scholar]
  45. Kim, S.J.; Cho, B.J.; Li, M.F.; Ding, S.J.; Yu, M.B.; Zhu, C.X.; Chin, A.; Kwong, D.L. Engineering of voltage nonlinearity in high-k MIM capacitor for analog/mixed-signal ICs. In Proceedings of the 2004 Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2004; pp. 218–219. [Google Scholar]
  46. Dornisch, D.; Wilk, G.; Li, G.; Ring, K.M.; Howard, D.J.; Racanelli, M. Investigation of scaling limits for PECVD SiN and ALD HfO2/Al2O3 integrated MIM capacitors. ECS Trans. 2019, 6, 755–772. [Google Scholar] [CrossRef]
  47. Chernikova, A.G.; Markeev, A.M.; Lebedinskii, Y.Y.; Kozodaev, M.G.; Zablotskiy, A.V. Structural, chemical and electrical properties of ALD-grown HfxAl1-xOy thin films for MIM capacitors. Phys. Status Solidi 2015, 252, 701–708. [Google Scholar] [CrossRef]
  48. Ding, S.J.; Hu, H.; Lim, H.F.; Kim, S.J.; Yu, X.F.; Zhu, C.; Li, M.F.; Cho, B.J.; Chan, D.S.; Rustagi, S.C. High-performance MIM capacitor using ALD high-k HfO2-Al2O3 laminate dielectrics. IEEE Electron Device Lett. 2003, 24, 730–732. [Google Scholar] [CrossRef]
Figure 1. Schematic illustration of fabrication process of MIM capacitors. (a) PR spin-coating. (b) Laser direct writing. (c) Bottom electrode deposition by laser direct writing. (d) Dielectric layer deposition by ALD. (e) Secondary PR spin-coating. (f) Laser direct writing and top electrode exposure. (g) Top electrode deposition and PR removal.
Figure 1. Schematic illustration of fabrication process of MIM capacitors. (a) PR spin-coating. (b) Laser direct writing. (c) Bottom electrode deposition by laser direct writing. (d) Dielectric layer deposition by ALD. (e) Secondary PR spin-coating. (f) Laser direct writing and top electrode exposure. (g) Top electrode deposition and PR removal.
Molecules 27 03951 g001
Figure 2. (a) SEM image of the Al2O3-based MIM capacitor. (b) The cross-sectional SEM image of the MIM capacitor. (c) The 25 nm Al2O3 film thickness test results obtained by ellipsometry spectrometer: where Psi represents the amplitude ratio, and Delta represents the phase difference. (d) XPS survey spectra of the prepared Al2O3 film. High-resolution XPS spectra of (e) Al 2p, (f) O 1s.
Figure 2. (a) SEM image of the Al2O3-based MIM capacitor. (b) The cross-sectional SEM image of the MIM capacitor. (c) The 25 nm Al2O3 film thickness test results obtained by ellipsometry spectrometer: where Psi represents the amplitude ratio, and Delta represents the phase difference. (d) XPS survey spectra of the prepared Al2O3 film. High-resolution XPS spectra of (e) Al 2p, (f) O 1s.
Molecules 27 03951 g002
Figure 3. AFM images of MIM capacitors deposited with 25 nm Al2O3, TiO2, and HfO2 dielectric material, respectively. (a) ALD deposition of Al2O3 dielectric material. (b) ALD deposition of TiO2 dielectric material. (c) ALD deposition of HfO2 dielectric material. (d) The linear contour fluctuations in the directions are indicated by the black, red, and blue lines in Figure 3a–c.
Figure 3. AFM images of MIM capacitors deposited with 25 nm Al2O3, TiO2, and HfO2 dielectric material, respectively. (a) ALD deposition of Al2O3 dielectric material. (b) ALD deposition of TiO2 dielectric material. (c) ALD deposition of HfO2 dielectric material. (d) The linear contour fluctuations in the directions are indicated by the black, red, and blue lines in Figure 3a–c.
Molecules 27 03951 g003
Figure 4. The relationship between leakage current density and applied voltage of manufactured MIM capacitors with different dielectric materials and thicknesses. (a) J-V characteristics of Al2O3 dielectric MIM capacitors. (b) J-V characteristics of TiO2 dielectric MIM capacitors. (c) J-V characteristics of HfO2 dielectric MIM capacitors.
Figure 4. The relationship between leakage current density and applied voltage of manufactured MIM capacitors with different dielectric materials and thicknesses. (a) J-V characteristics of Al2O3 dielectric MIM capacitors. (b) J-V characteristics of TiO2 dielectric MIM capacitors. (c) J-V characteristics of HfO2 dielectric MIM capacitors.
Molecules 27 03951 g004
Figure 5. C-Q and C-V characteristic curves of 12.10 nm, 24.72 nm, and 49.73 nm Al2O3 dielectric capacitors. (ac) The C-Q characteristic curves of three thicknesses of dielectric capacitors, respectively. (df) The corresponding C-V characteristic curves of three thicknesses of dielectric capacitors at different frequencies.
Figure 5. C-Q and C-V characteristic curves of 12.10 nm, 24.72 nm, and 49.73 nm Al2O3 dielectric capacitors. (ac) The C-Q characteristic curves of three thicknesses of dielectric capacitors, respectively. (df) The corresponding C-V characteristic curves of three thicknesses of dielectric capacitors at different frequencies.
Molecules 27 03951 g005
Figure 6. C-Q and C-V characteristic curves of 25 nm Al2O3, TiO2, and HfO2 dielectric capacitors. (ac) The C-Q characteristic curves of three kinds of 25 nm dielectric capacitors, respectively. (df) The corresponding C-V characteristic curves of three kinds of 25 nm dielectric capacitors at different frequencies.
Figure 6. C-Q and C-V characteristic curves of 25 nm Al2O3, TiO2, and HfO2 dielectric capacitors. (ac) The C-Q characteristic curves of three kinds of 25 nm dielectric capacitors, respectively. (df) The corresponding C-V characteristic curves of three kinds of 25 nm dielectric capacitors at different frequencies.
Molecules 27 03951 g006
Figure 7. Normalized capacitance as the function of bias voltage of 25 nm Al2O3 capacitors at 104 Hz, 105 Hz, and 106 Hz, respectively.
Figure 7. Normalized capacitance as the function of bias voltage of 25 nm Al2O3 capacitors at 104 Hz, 105 Hz, and 106 Hz, respectively.
Molecules 27 03951 g007
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Xiong, L.; Hu, J.; Yang, Z.; Li, X.; Zhang, H.; Zhang, G. Dielectric Properties Investigation of Metal–Insulator–Metal (MIM) Capacitors. Molecules 2022, 27, 3951. https://doi.org/10.3390/molecules27123951

AMA Style

Xiong L, Hu J, Yang Z, Li X, Zhang H, Zhang G. Dielectric Properties Investigation of Metal–Insulator–Metal (MIM) Capacitors. Molecules. 2022; 27(12):3951. https://doi.org/10.3390/molecules27123951

Chicago/Turabian Style

Xiong, Li, Jin Hu, Zhao Yang, Xianglin Li, Hang Zhang, and Guanhua Zhang. 2022. "Dielectric Properties Investigation of Metal–Insulator–Metal (MIM) Capacitors" Molecules 27, no. 12: 3951. https://doi.org/10.3390/molecules27123951

Article Metrics

Back to TopTop