Nanomaterials for the Advanced Manufacturing of Electronic Devices

A special issue of Nanomaterials (ISSN 2079-4991).

Deadline for manuscript submissions: closed (31 March 2020) | Viewed by 26104

Special Issue Editors


E-Mail Website
Guest Editor
Universitat Autònoma de Barcelona, Barcelona, Spain
Interests: advanced nanofabrication methods, nanoelectronic devices, nanoelectromechanical systems, advanced AFM methods
Institute of Microelectronics of Barcelona (IMB-CNM, CSIC), E-08193 Bellaterra, Spain
Interests: device reliability; memories; advanced nanofabrication methods; nanoelectronic devices

Special Issue Information

Dear Colleagues,

This Special Issue will be focused on the use of nanomaterials and nanostructured materials in the fabrication of electronic devices: how do nanomaterials or a nanostructured materials improve the performance of a device or enable its manufacturing. Examples of possible topics would be:

  • Nanodevices based on nanocrystals and quantum dots
  • Materials issues related to resisitive switching devices
  • Advanced materials in the fabrication of nanoelectronic devices, such as block copolymers
  • Processing methods for controlling the dimensions of materials in nanodevice fabrication: ALD, ALE, etc.
  • Nanolithography for structuring materials in nanodevice fabrication
  • Low dimensional materials (like 2D materials) for nanodevice fabrication
  • Bottom-up fabrication of nanoelectronic devices
  • Modelling of nanometarials in electronic devices
Prof. Dr. Francesc Perez-Murano
Dr. Esteve Amat
Guest Editors

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Nanomaterials is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2900 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Published Papers (7 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Research

21 pages, 5432 KiB  
Article
Multi-Frequency Resonance Behaviour of a Si Fractal NEMS Resonator
by Vassil Tzanov, Jordi Llobet, Francesc Torres, Francesc Perez-Murano and Nuria Barniol
Nanomaterials 2020, 10(4), 811; https://doi.org/10.3390/nano10040811 - 23 Apr 2020
Cited by 4 | Viewed by 3298
Abstract
Novel Si-based nanosize mechanical resonator has been top-down fabricated. The shape of the resonating body has been numerically derived and consists of seven star-polygons that form a fractal structure. The actual resonator is defined by focused ion-beam implantation on a SOI wafer where [...] Read more.
Novel Si-based nanosize mechanical resonator has been top-down fabricated. The shape of the resonating body has been numerically derived and consists of seven star-polygons that form a fractal structure. The actual resonator is defined by focused ion-beam implantation on a SOI wafer where its 18 vertices are clamped to nanopillars. The structure is suspended over a 10 μm trench and has width of 12 μm. Its thickness of 0.040 μm is defined by the fabrication process and prescribes Young’s modulus of 76 GPa which is significantly lower than the value of the bulk material. The resonator is excited by the bottom Si-layer and the interferometric characterisation confirms broadband frequency response with quality factors of over 800 for several peaks between 2 MHz and 16 MHz. COMSOL FEM software has been used to vary material properties and residual stress in order to fit the eigenfrequencies of the model with the resonance peaks detected experimentally. Further use of the model shows how the symmetry of the device affects the frequency spectrum. Also, by using the FEM model, the possibility for an electrical read out of the device was tested. The experimental measurements and simulations proved that the device can resonate at many different excitation frequencies allowing multiple operational bands. The size, and the power needed for actuation are comparable with the ones of single beam resonator while the fractal structure allows much larger area for functionalisation. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Figure 1

9 pages, 1877 KiB  
Article
Exploring Strategies to Contact 3D Nano-Pillars
by Esteve Amat, Alberto del Moral, Marta Fernández-Regúlez, Laura Evangelio, Matteo Lorenzoni, Ahmed Gharbi, Guido Rademaker, Marie-Line Pourteau, Raluca Tiron, Joan Bausells and Francesc Perez-Murano
Nanomaterials 2020, 10(4), 716; https://doi.org/10.3390/nano10040716 - 10 Apr 2020
Cited by 5 | Viewed by 3065
Abstract
This contribution explores different strategies to electrically contact vertical pillars with diameters less than 100 nm. Two process strategies have been defined, the first based on Atomic Force Microscope (AFM) indentation and the second based on planarization and reactive ion etching (RIE). We [...] Read more.
This contribution explores different strategies to electrically contact vertical pillars with diameters less than 100 nm. Two process strategies have been defined, the first based on Atomic Force Microscope (AFM) indentation and the second based on planarization and reactive ion etching (RIE). We have demonstrated that both proposals provide suitable contacts. The results help to conclude that the most feasible strategy to be implementable is the one using planarization and reactive ion etching since it is more suitable for parallel and/or high-volume manufacturing processing. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Figure 1

22 pages, 5477 KiB  
Article
MOS Capacitance Measurements for PEALD TiO2 Dielectric Films Grown under Different Conditions and the Impact of Al2O3 Partial-Monolayer Insertion
by William Chiappim, Marcos Watanabe, Vanessa Dias, Giorgio Testoni, Ricardo Rangel, Mariana Fraga, Homero Maciel, Sebastião dos Santos Filho and Rodrigo Pessoa
Nanomaterials 2020, 10(2), 338; https://doi.org/10.3390/nano10020338 - 17 Feb 2020
Cited by 12 | Viewed by 4802
Abstract
In this paper, we report the plasma-enhanced atomic layer deposition (PEALD) of TiO2 and TiO2/Al2O3 nanolaminate films on p-Si(100) to fabricate metal-oxide-semiconductor (MOS) capacitors. In the PEALD process, we used titanium tetraisopropoxide (TTIP) as a titanium precursor, [...] Read more.
In this paper, we report the plasma-enhanced atomic layer deposition (PEALD) of TiO2 and TiO2/Al2O3 nanolaminate films on p-Si(100) to fabricate metal-oxide-semiconductor (MOS) capacitors. In the PEALD process, we used titanium tetraisopropoxide (TTIP) as a titanium precursor, trimethyl aluminum (TMA) as an aluminum precursor and O2 plasma as an oxidant, keeping the process temperature at 250 °C. The effects of PEALD process parameters, such as RF power, substrate exposure mode (direct or remote plasma exposure) and Al2O3 partial-monolayer insertion (generating a nanolaminate structure) on the physical and chemical properties of the TiO2 films were investigated by Rutherford backscattering spectroscopy (RBS), Raman spectroscopy, grazing incidence X-ray diffraction (GIXRD), and field emission scanning electron microscopy (FESEM) techniques. The MOS capacitor structures were fabricated by evaporation of Al gates through mechanical mask on PEALD TiO2 thin film, followed by evaporation of an Al layer on the back side of the Si substrate. The capacitors were characterized by current density-voltage (J-V), capacitance-voltage (C-V) and conductance-voltage (G-V) measurements. Our results indicate that RF power and exposure mode promoted significant modifications on the characteristics of the PEALD TiO2 films, while the insertion of Al2O3 partial monolayers allows the synthesis of TiO2/Al2O3 nanolaminate with well-spaced crystalline TiO2 grains in an amorphous structure. The electrical characterization of the MOS structures evidenced a significant leakage current in the accumulation region in the PEALD TiO2 films, which could be reduced by the addition of partial-monolayers of Al2O3 in the bulk of TiO2 films or by reducing RF power. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Graphical abstract

19 pages, 6673 KiB  
Article
Grain-Boundary-Induced Alignment of Block Copolymer Thin Films
by Steven Gottlieb, Marta Fernández-Regúlez, Matteo Lorenzoni, Laura Evangelio and Francesc Perez-Murano
Nanomaterials 2020, 10(1), 103; https://doi.org/10.3390/nano10010103 - 04 Jan 2020
Cited by 3 | Viewed by 3371
Abstract
We present and discuss the capability of grain boundaries to induce order in block copolymer thin films between horizontally and vertically assembled block copolymer grains. The system we use as a proof of principle is a thermally annealed 23.4 nm full-pitch lamellar Polystyrene-block-polymethylmetacrylate [...] Read more.
We present and discuss the capability of grain boundaries to induce order in block copolymer thin films between horizontally and vertically assembled block copolymer grains. The system we use as a proof of principle is a thermally annealed 23.4 nm full-pitch lamellar Polystyrene-block-polymethylmetacrylate (PS-b-PMMA) di-block copolymer. In this paper, grain-boundary-induced alignment is achieved by the mechanical removal of the neutral brush layer via atomic force microscopy (AFM). The concept is also confirmed by a mask-less e-beam direct writing process. An elongated grain of vertically aligned lamellae is trapped between two grains of horizontally aligned lamellae. This configuration leads to the formation of 90° twist grain boundaries. The features maintain their orientation on a characteristic length scale, which is described by the material’s correlation length ξ. As a result of an energy minimization process, the block copolymer domains in the vertically aligned grain orient perpendicularly to the grain boundary. The energy-minimizing feature is the grain boundary itself. The width of the manipulated area (e.g., the horizontally aligned grain) does not represent a critical process parameter. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Graphical abstract

12 pages, 2349 KiB  
Article
High Volume-Per-Dose and Low Resistivity of Cobalt Nanowires Grown by Ga+ Focused Ion Beam Induced Deposition
by Carlos Sanz-Martín, César Magén and José María De Teresa
Nanomaterials 2019, 9(12), 1715; https://doi.org/10.3390/nano9121715 - 01 Dec 2019
Cited by 13 | Viewed by 3308
Abstract
The growth of ferromagnetic nanostructures by means of focused-Ga+-beam-induced deposition (Ga+-FIBID) using the Co2(CO)8 precursor has been systematically investigated. The work aimed to obtain growth conditions allowing for the simultaneous occurrence of high growth speed, good [...] Read more.
The growth of ferromagnetic nanostructures by means of focused-Ga+-beam-induced deposition (Ga+-FIBID) using the Co2(CO)8 precursor has been systematically investigated. The work aimed to obtain growth conditions allowing for the simultaneous occurrence of high growth speed, good lateral resolution, low electrical resistivity, and ferromagnetic behavior. As a first result, it has been found that the competition between deposition and milling that is produced by the Ga+ beam is a limiting factor. In our working conditions, with the maximum available precursor flux, the maximum deposit thickness has been found to be 65 nm. The obtained volumetric growth rate is at least 50 times higher than in the case of deposition by focused-electron-beam-induced deposition. The lateral resolution of the deposits can be as good as 50 nm while using Ga+-beam currents lower than 10 pA. The high metallic content of the as-grown deposits gives rise to a low electrical resistivity, within the range 20–40 µΩ·cm. Magnetic measurements confirm the ferromagnetic nature of the deposits at room temperature. In conclusion, the set of obtained results indicates that the growth of functional ferromagnetic nanostructures by Ga+-FIBID while using the Co2(CO)8 precursor is a viable and competitive technique when compared to related nanofabrication techniques. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Graphical abstract

10 pages, 3513 KiB  
Article
Fabrication of Novel Printable Electrically Conductive Adhesives (ECAs) with Excellent Conductivity and Stability Enhanced by the Addition of Polyaniline Nanoparticles
by Jiayue Wen, Yanhong Tian, Chunjin Hang, Zhen Zheng, He Zhang, Zhipeng Mei, Xuanyi Hu and Yanqing Tian
Nanomaterials 2019, 9(7), 960; https://doi.org/10.3390/nano9070960 - 01 Jul 2019
Cited by 23 | Viewed by 4051
Abstract
Electrically conductive adhesives (ECAs) are one of the low temperature bonding materials. It can be used to replace toxic Sn-Pb solder. The key issue for the application of ECAs is how to improve their electrical properties. In the present study, we develop an [...] Read more.
Electrically conductive adhesives (ECAs) are one of the low temperature bonding materials. It can be used to replace toxic Sn-Pb solder. The key issue for the application of ECAs is how to improve their electrical properties. In the present study, we develop an effective method to promote the electrical properties of ECAs by addition of polyaniline (PANI) nanoparticles. PANIs were synthesized via a facile one-step chemical oxidative polymerization method. After adding 0.5 wt% PANI nanoparticles, the conductivity of ECAs increased dramatically by an order of magnitude. The bulk resistivity of 8.8 × 10−5 Ω·cm is achieved for 65 wt% silver fillers with 0.5 wt% PANIs loaded ECAs. Besides, this improvement has no negative effect on the shear strength and the aging life of ECAs. Moreover, the use of PANIs not only lowers the percolation threshold of ECAs, but also reduces the cost and improves the bonding reliability. Finally, PANIs enhanced ECAs patterns were successfully printed by a stencil printing method, which proved their potential applications in replacing conventional solder pastes and printing functional circuits. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Graphical abstract

11 pages, 2496 KiB  
Article
The Characteristics of Transparent Non-Volatile Memory Devices Employing Si-Rich SiOX as a Charge Trapping Layer and Indium-Tin-Zinc-Oxide
by Joong-Hyun Park, Myung-Hun Shin and Jun-Sin Yi
Nanomaterials 2019, 9(5), 784; https://doi.org/10.3390/nano9050784 - 22 May 2019
Cited by 12 | Viewed by 3562
Abstract
We fabricated the transparent non-volatile memory (NVM) of a bottom gate thin film transistor (TFT) for the integrated logic devices of display applications. The NVM TFT utilized indium–tin–zinc–oxide (ITZO) as an active channel layer and multi-oxide structure of SiO2 (blocking layer)/Si-rich SiO [...] Read more.
We fabricated the transparent non-volatile memory (NVM) of a bottom gate thin film transistor (TFT) for the integrated logic devices of display applications. The NVM TFT utilized indium–tin–zinc–oxide (ITZO) as an active channel layer and multi-oxide structure of SiO2 (blocking layer)/Si-rich SiOX (charge trapping layer)/SiOXNY (tunneling layer) as a gate insulator. The insulators were deposited using inductive coupled plasma chemical vapor deposition, and during the deposition, the trap states of the Si-rich SiOx charge trapping layer could be controlled to widen the memory window with the gas ratio (GR) of SiH4:N2O, which was confirmed by fourier transform infrared spectroscopy (FT-IR). We fabricated the metal–insulator–silicon (MIS) capacitors of the insulator structures on n-type Si substrate and demonstrated that the hysteresis capacitive curves of the MIS capacitors were a function of sweep voltage and trap density (or GR). At the GR6 (SiH4:N2O = 30:5), the MIS capacitor exhibited the widest memory window; the flat band voltage (ΔVFB) shifts of 4.45 V was obtained at the sweep voltage of ±11 V for 10 s, and it was expected to maintain ~71% of the initial value after 10 years. Using the Si-rich SiOX charge trapping layer deposited at the GR6 condition, we fabricated a bottom gate ITZO NVM TFT showing excellent drain current to gate voltage transfer characteristics. The field-effect mobility of 27.2 cm2/Vs, threshold voltage of 0.15 V, subthreshold swing of 0.17 V/dec, and on/off current ratio of 7.57 × 107 were obtained at the initial sweep of the devices. As an NVM, ΔVFB was shifted by 2.08 V in the programing mode with a positive gate voltage pulse of 11 V and 1 μs. The ΔVFB was returned to the pristine condition with a negative voltage pulse of −1 V and 1 μs under a 400–700 nm light illumination of ~10 mWcm−2 in erasing mode, when the light excites the electrons to escape from the charge trapping layer. Using this operation condition, ~90% (1.87 V) of initial ΔVFB (2.08 V) was expected to be retained over 10 years. The developed transparent NVM using Si-rich SiOx and ITZO can be a promising candidate for future display devices integrating logic devices on panels. Full article
(This article belongs to the Special Issue Nanomaterials for the Advanced Manufacturing of Electronic Devices)
Show Figures

Figure 1

Back to TopTop