Next Article in Journal
Determining the Efficiency of Small-Scale Propellers via Slipstream Monitoring
Previous Article in Journal
Unleashing the Potential of Morphing Wings: A Novel Cost Effective Morphing Method for UAV Surfaces, Rear Spar Articulated Wing Camber
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities

1
Key Laboratory of More Electric Aircraft Technology of Zhejiang Province, University of Nottingham Ningbo China, Ningbo 315100, China
2
Special Aircrafts Zhejiang Provincial Engineering Research Center, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315100, China
3
Advanced Electrical Machine Drive Research Center, Yongjiang Laboratory, Ningbo 315202, China
*
Authors to whom correspondence should be addressed.
Drones 2023, 7(6), 380; https://doi.org/10.3390/drones7060380
Submission received: 15 April 2023 / Revised: 25 May 2023 / Accepted: 2 June 2023 / Published: 6 June 2023

Abstract

:
In the Machine Learning (ML) era, faced with challenges, including exponential multi-sensor data, an increasing number of actuators, and data-intensive algorithms, the development of Unmanned Aerial Vehicles (UAVs) is standing on a new footing. In particular, the Flight Management System (FMS) plays an essential role in UAV design. However, the trade-offs between performance and SWaP-C (Size, Weight, Power, and Cost) and reliability–efficiency are challenging to determine for such a complex system. To address these issues, the identification of a successful approach to managing heterogeneity emerges as the critical question to be answered. This paper investigates Heterogeneous Computing (HC) integration in FMS in the UAV domain from academia to industry. The overview of cross-layer FMS design is firstly described from top–down in the abstraction layer to left–right in the figurative layer. In addition, the HC advantages from Light-ML, accelerated Federated Learning (FL), and hardware accelerators are highlighted. Accordingly, three distinct research focuses detailed with visual-guided landing, intelligent Fault Diagnosis and Detection (FDD), and controller-embeddable Power Electronics (PE) to distinctly illustrate advancements of the next-generation FMS design from sensing, and computing, to driving. Finally, recommendations for future research and opportunities are discussed. In summary, this article draws a road map that considers the heterogeneous advantages to conducting the Flight-Management-as-a-Service (FMaaS) platform for UAVs.

1. Introduction

In recent years, the rapid development of data-driven ML and advances in information and communication technology (ICT) has brought more performance optimization possibilities to the modern computing platforms for UAVs [1,2]. Enabling the next generation of UAVs to process data from richer sensors and actuators and maximizing the performance with large-scale probabilistic ML models bears enormous potential [3]. However, the continuous proliferation of drones pose computing challenges, augmented by the higher performance necessities, big data-processing requirements, resource constraints, dynamic managing scenarios, and cyber-security concerns [4]. The greater the sophistication of the UAV, the greater the probability that a sub-system will fail [5]. Typically, the demand for higher computational speed [6], HC has attracted increasing attention from scholars to meet the real-time and power requirements and adaption of changing workloads of UAV [7]. The key technologies of heterogeneous computing can be categorized into architecture, programming languages, and the scheduling algorithm. In addition, as the demand for computing power increases, many computing platforms are being accelerated by combining different computing units such as CPUs (Central Processing Units), GPUs (Graphics Processing Units), ASICs (Application-Specific Integrated Circuits), and FPGAs (Field Programmable Gate Arrays) [8].
To demonstrate how to meet the functional heterogeneous requirements of UAVs, the FMS architecture is considered as an example. FMS is the top-level architecture of the airborne subsystem among UAV avionic electronics [9]. The FMS of drones mainly includes flight plan management, integrated navigation, performance calculation, trajectory prediction, and flight guidance functions. In contrast to the Flight Control System (FCS), the FMS provides advances to improve flight safety and economy effectively. Figure 1 illustrates an exemplary comparison between FCS and FMS [10]. Generally, the FCS is deployed as the primary component for UAV control, such as CUAV V5+ in Figure 1a and Flight Controller PX4 in Figure 1b. Meanwhile, the FMS is implemented in Ultra96-V2 as an extra service.
Since the FCS is the basic platform for UAV, an in-depth study on isolated FMS rather than FCS could lead to lower research expenses, although prior experiments have failed to achieve this outcome. Nevertheless, the design of high-reliable heterogeneous FMS depends on many constraints, such as the requirements of being real-time, low-cost, low-power, high-performance, and minimal risk [11]. Another critical factor in the designing phase is the consideration of SWaP-C, which is an acronym for Size, Weight, Power, and Cost. Accomplishing even one or two criteria can be motivating enough to recommend or request a promising system design. However, it is always ideal to achieve progress in all four areas [12]. More specifically, as Figure 2 depicts, the trade-off between programmability and energy efficiency based on different hardware platforms is challenging to determine.
A considerable amount of literature has been published on reliable computing platforms. Ahmed et al. [2] used a survey to assess the various computing platforms and the hardware reliability issues of UAVs. Bithas et al. [14] reviewed 5G and wireless communication integration in UAV-based networks for heterogeneous environments. Furthermore, the FPGA-based aerial robotic accelerators are surveyed in [15]. Moreover, the fault diagnosis and fault tolerant control for UAVs to avoid catastrophic Crazyflies are comprehensively discussed in [16]. Recently, power semiconductor devices have been expansively applied to enhance system robustness with the following constraints: fully controllable, higher switching speed, embedded capability, and low conduction loss [17,18]. In addition, to satisfy the safety-critical scenarios, the need for investigation via artificial intelligence solutions is of high significance to manage the increasing calculation resources [19]. Further, when focusing on deep learning, the parallel and optimization technologies of neural networks based on HC have attracted increasing attention [8]. Several scholars have shown promising results by combining hardware accelerators for ML applications [20].
To date, however, there needs to be more discussions held around a comprehensive overview of heterogeneous FMS design. Although Infrastructure-as-a-Service (IaaS) platforms could compactly provide valuable features, including hardware abstraction, resource allocation, and energy-aware workflow architecture to enable the remote management of applications, commercial UAVs, on the other hand, usually provide a limited software environment [21,22]. Therefore, by proposing a design road map of heterogeneous FMS for high-reliable UAVs in different levels and cross-layers, this article aims to replicate the specialized convenience and comfort of IaaS platforms by achieving the Flight-Management-as-a-Service (FMaaS) platform as a final product for drones. To sum up, the contributions of this article are as follows:
1.
To the best of our knowledge, this represents the first time in the literature that the design road map of heterogeneous FMS for high-reliable UAVs is systematically proposed in different levels and cross-layers abstractly and figuratively.
2.
The implications and novelties of acceleration possibilities in data-, model-, and hardware levels for pursuing heterogeneity management are comprehensively surveyed.
3.
The advantages and limitations of the tools mentioned above are investigated. Several exemplary applications are provided, where the challenges and future trends are discussed in detail.
In this paper, we discuss the UAV FMS design’s current status and future directions, focusing on heterogeneous computing. The structure of this survey is displayed in Figure 3. After the introduction, the cross-layer design stack of FMS for UAVs is described in Section 2. In Section 3, the essential and novel tools for the implication of heterogeneous FMS with ML and hardware accelerators are discussed. Section 4 provides three research hot-spot examples for FMS with comparisons and discussions: vision-based landing, fault diagnosis, and embedded control system. In Section 5, challenges and open opportunities are proposed. Lastly, concluding remarks are provided in Section 6.

2. Loop Cycle of UAV FMS Design

It is essential to explore how these different chips can be arranged differently to meet UAV domain requirements and increase the FMS’s potential. Therefore, in order to identify the advances of heterogeneity for FMS, in this section, the loop cycle of UAV design is proposed from the abstract layer to the figurative layer.

2.1. Abstraction Layer

Wan et al. [4] reviewed the literature from the period and traversed down Figure 4 to explain robotic-specific computing. The general robot design algorithms can be divided into perception, localization and mapping, decision-making and control, Artificial Intelligence (AI), and cloud computing. At the system level, to match the entire operating system and reduce development effort, Xilinx [23] provides several tools for developers to accelerate cross-platform coding, especially for Robot Operating System (ROS), Linux, and Petalinux. Meanwhile, as for the heterogeneous System on Chips (SoC) layer, the different combinations of chips are highlighted with CPUs, GPUs, FPGAs, ASICs, and the recent hot-spot AI chip.

2.2. Figurative Layer

Typically, three subsystems comprise the main components of a UAV system: actuators, primary structure, and sensors [16]. Moreover, when the SoC selection differences and the arithmetic power increase, the high-performance possibility exists for a corresponding multi-sensor multi-actuator development UAV model. Figure 5 depicts the figurative layer design approach from the sensor side on the left to the actuator side on the right. Based on [24], the Multiple-Input Multiple-Output (MIMO) model at the top is a typical model for aerial robot design, where u represents the sensor input, G ( s ) is the calculated and described model, and y represents the actuator output. However, with the increasing number of sensors such as cameras, Inertial Measurement Units (IMUs), and Global Position Systems (GPS) being supplied, decoupling G ( s ) and deploying the model in a heterogeneous SoC has evolved into a new challenge. The diagram in the middle depicts a typical control model of how the control equation K ( s ) can be developed so that the errors e 1 and e 2 are minimized to achieve accurate and robust control of multiple outputs y. Based on these, the block diagram of the figurative layer is described at the bottom.
In complex control systems such as modern FMS, the versatility of implementing control laws has brought many opportunities [7], since place recognition is a crucial ability for real-time UAV tasks to perform long-term Simultaneous Localization. Furthermore, Mapping (SLAM) [25], vision sensors are treated as the instance of the sensor side. On the other side, the real-time implementation of the controller presents a challenging task [26]. To further illustrate the notion of heterogeneity, the synergistic development of Permanent-Magnet Synchronous Motor (PMSM) and heterogeneous SoCs as an example of the actuator side is focused on.

2.3. Cross Layer and Loop Cycle

To explore methods that ensure high efficiency, real-time security, reconfigurability, and predictability of heterogeneous SoCs at low cost, we have integrated the abstraction and figurative layers together, as shown in Figure 6. It builds a loop closure for the next generation of FMS. Firstly, as the number of sensors and actuators increases in this cycle, the required computational resources and control accuracy grow. Secondly, as computing power rises, the traditional development model is revolutionized. Thus, advanced sensors and actuators can be applied to assemble a positive cycle. Finally, the value of heterogeneous FMS can be demonstrated implicitly through its power consumption, throughput, and other metrics.
The following three directions and corresponding key technologies [27] are summarized in detail in Section 3 and Section 4:
  • Direction A: Challenges for heterogeneous SoC design for FMS with function “evaluation and decision.”
  • Direction B: Joint development of smart sensors and heterogeneous FMS with function “perception and monitoring.”
  • Direction C: Cooperated development of actuators and heterogeneous FMS with function “implementation and disposal.”

3. Acceleration Methods

3.1. Light-Weight ML

Recent trends in ML have led to a proliferation of studies by integrating Deep Learning (DL) techniques with fault diagnosis and detection. Though ML has shown convincing performance in data-intensive applications [28], in practice, it is often hard and expensive to collect enough large-scale and independent and identically distributed (IDD) data [29]. Few-shot Learning (FSL) and Transfer Learning (TL) are of particular concern. FSL is utilized to address the issue of learning the underlying pattern in the data only from a few training samples [30]. Furthermore, in TL, the learner performs on target domains by transferring the knowledge obtained from different but related source domains [31]. By reusing the knowledge maintained from the source task, the performance of the target task could be significantly improved [32]. The task and mapping are the same in the related Domain Adaption (DA) case, while the input distribution is differs slightly [33]. The significant advantages of DA can be illustrated in Figure 7. Small-scale data training could decrease algorithm complexity and accelerate heterogeneous computing.
Another essential tool for computational- and data-light ML on FMS is TinyML [34]. By enacting inference on-device or near-sensor, TinyML could enable remarkable responsiveness and revolutionize the real-time collection of data [35]. Liu et al. [36] proposed a TinyML framework for the Remaining Useful Life (RUL) to meet the real-time deadline efficiently. Islam et al. [37] envisioned a drone-based data processing framework with TinyML to secure a real-time heterogeneous management system. Furthermore, Liu et al. [38] presented a TinyML approach for hardware-efficient channel assessment and signal detection. The opportunity to locally execute the ML models on tiny devices has pushed research with more adaptable and configurable methodologies, as described in Table 1.

3.2. Federated Learning Acceleration

The end of Moore’s Law is pushing ML to shift from cloud to edge [44], especially in the next-generation FMS system. Enabling ways to overcome the challenges of data silos and data sensibility, the collaboratively decentralized privacy-preserving paradigm known as Federated Learning (FL) has attracted particular concern among researchers for UAV-based authentication recently [45,46]. The core FL problem involves learning a single global statistical model from data stored on numerous devices. In addition to not only solving the privacy problem, the system and statistical heterogeneities are also a critical research direction for the FL technology to handle asynchronous communication and fault tolerance [47]. Typically, the goal of FL is to minimize the objective function by Equation (1):
min w F ( w ) , where F ( w ) : = k = 1 m p k F k ( w ) .
Here, m is the total number of appliances, p k 0 and k p k = 1 , and F k is the local objective function for the kth appliance [47]. Similar to the efforts to move toward the Internet of Things (IoT) with the Edge Computing (EC) environment [48], the next-generation of FMS could also benefit beyond the journey from centralized to distributed ML such as FL [49], especially for condition monitoring [50] and real-time updates for fault diagnosis [51].
However, when deploying FL on resource-constrained heterogeneous devices, the weak computation abilities may cause unexpected straggler problems [52]. Therefore, a considerable amount of literature has been published on FL acceleration [53]. These studies mainly focus on optimization by altering the steps or functions in the ML model. For example, Jin et al. [54] presented novel adaptive optimization methods for FL by considering the dynamics of Ordinary Differential Equations (ODEs). Liu et al. [55] accelerated the FL framework by considering Momentum Gradient Descent (MGD) in the local update part of the FL system, especially during the last iteration. Ozfatura et al. [56] proposed FedADC to accelerate the FL algorithm with drift control. In [57], the acceleration possibility with alleviated forgetting in local training is evaluated in-depth. Moreover, Wang et al. [58] have paid attention to considering cluster construction and hierarchical aggregation. Their efficient FL mechanism, referred to as FedCH, showed promising results by reducing the completion time and network traffic by more than 50%.
Similarly, Hanzely et al. [59] also focused on the local Stochastic Gradient Descent (SGD) methods by establishing the first lower bounds for optimization. On the other hand, Xu et al. [60] proposed a new paradigm, namely FL-PQSU, by addressing the issue with pruning, quantization, and selective updating. Furthermore, when pursuing the system-level solutions, Lee et al. [61] proposed a novel FL framework for resource allocation with multiple systems. They accelerated the learning speed by aggregating the policy at each system into a central policy. At the same time, by using the central policy, network adaptability is assured. Li et al. [62] presented SmartPC, a hierarchical online pace control framework for FL, which pursued meeting the balance between SWaP-C and real-time constraints with promising results.
In the fault diagnosis domain, Zhao et al. [63] first presented an application of FL on power electronics among scholars. They integrated the FL-based framework for detecting a series of different False Data Injection (FDI) attacks on dc/dc and dc/ac converters in photovoltaic (PV) systems. Their experimental results demonstrated significant efficiency and performance improvements over the standard ML paradigm in preserving data privacy. In addition, they identified possibilities for improvement and refinement via adopting the TinyML concept (mentioned in Section 3.1) on low-energy systems, which strongly supports our opinion. In [64], Lin et al. proposed an FL-channel attention-based Convolutional Neural Network (CA-CNN) to extrapolate the transformer fault type. It can extract hidden layers and weights from the Dissolved Gas Analysis (DGA) data and improve each client’s performance against communication noises. In conclusion, exploiting FL on the FDD of modern FMS is a worthwhile pursuit. In summary, the research on resource-friendly combinations of TinyML and FL, such as TinyFedTL [43], ensures valuable data protection and security guarantees for the next generation of heterogeneous FMS.

3.3. Hardware Accelerators with FPGA and RISC-V

Why FPGA? Reconfigurable computing presents a potential paradigm that has been effectively performing primarily in developing devices with Field Programmable Gate Arrays (FPGAs). FPGA reconfigurable hardware is designed to handle latency-critical tasks while being energy efficient due to the ability to parallelize computations massively [65]. This places FPGAs as the next candidate for autonomous drone design. In addition, while GPUs excel at parallel processing, FPGAs perform integrated AI and provide several advantages with low latency, high throughput, excellent flexibility, affordable cost, and low-power consumption [66]. Furthermore, it is suitable for TinyML-based acceleration on FPGA in terms of Processing-in-Memory (PIM) architecture [67]. However, working with FPGAs requires a deep understanding of hardware logic, creating a barrier to their adoption in aerial robotic communities [10].
Why RISC-V? Modern microprocessors are the fundamental component of providing solutions for the most complex systems applications. The instructions supported by a particular processor and their byte-level encodings are defined as Instruction Set Architecture (ISA) [68]. The RISC-V expresses a fallback solution for untreated corner cases [69]. Investigating Open-Source Hardware (OSH) and contributing to designing hardware-based accelerators with ML represent enduring concerns within such a high-reliable embedded ecosystem [70]. In addition, researchers have adopted the Reduced Instruction-Set Computer-five (RISC-V) open standard architecture worldwide. Recently, especially after the COVID-19 epidemic, the open RISC-V ISA has gained enormous popularity in the avionic power electronics and fault tolerance domain [71]. For achieving energy efficiency, open-source tools, such as the RISC-V ISA, have been introduced to optimize every internal stage of the system [72]. Figure 8 illustrates the similarity of the development cycle between RISC-V Vector operation and AI operation, which leads to the possibilities for ML acceleration.
In China, the performance observed of the latest XT-910 chips convinced the RISC-V community that, (I) the RISC-V embedded MCUs satisfy low-cost, low-power, and high-performance computing requirements. (II) For AI- and data-centered applications, the RISC-V vector extension works well to meet acceleration expectations. (III) Academic and industry researchers could benefit significantly from the flexibility and customizability of RISC-V in the post-Moore’s Law era [73]. In the U.S., lately, a full-stack open-source framework for TinyML acceleration on FPGAs and RISC-V was proposed by Prakash et al. [74] as a promising example. In Europe, the group led by Prof. Luca Benini presented a series of RISC-V-based accelerator tools from an open-source simulator (GVSoC) [75] for the Parallel Ultra-Low Power (PULP) architectures, an open hardware platform for always-on wearable smart skin [76], and in-network accelerator for flexible packet processing on streaming Processing in the Network (sPiN) [77].

4. Research Focuses

It has previously been observed that the heterogeneous capability to address complex and reliable performance has become a key enabler of further developments in FMS. Furthermore, the following cases from the theory and applications in [7] will demonstrate the results: the visual-guided landing of UAVs, intelligent Fault Detection and Diagnosis (FDD), and controller-embeddable PE. Furthermore, the exemplary applications correspond with the goal of typical system design, just as the motto of the Olympic Games: “Faster, Higher, Stronger” [78]. First, the visual-guided landing represents the “Faster” for real-time responses. Second, the PE usage expresses the “Higher” for higher frequency in controlling. Third, the fault diagnosis symbolizes the “Stronger” for robust and stable system [79].

4.1. Visual-Guided Landing

With the rapid development of UAVs, the issue of visual-guided landing has received considerable critical attention [80], especially based on ML methodology such as Reinforcement Learning (RL) [81] and different neural network architectures [82,83]. In addition, in order to obtain the smooth flying progress of UAVs, SLAM technology has attracted plenty of attention among scholars [84]. However, the requirements for real-time massive information processing and limited onboard resources have brought difficulties for achieving high-performance [85]. When considering typical sensors, the Time-of-Flight (ToF) camera and Lidar (Light Detection and Ranging) are the most widely used modern sensors to obtain 3D information [86]. With a collection of data points maintaining depth images, the 3D point clouds could be generated to help the UAV understand the surroundings without sensor drift [87]. The typical approaches to maintain the visual-guided landing based on the ToF camera and Lidar are summarized in Table 2.
Integrating ML algorithms, in [96], Navardi et al. presented an approach named E2EdgeAI for energy-efficient Edge computing that harnesses the benefit of AI for tiny autonomous drones. This approach optimized the energy efficiency of DNNs by assessing the effects of memory access and core utilization on the power consumption of tiny UAVs. Their experiments showed promising results with a model size of up to 14.4×, improved energy per inference by 78%, and raised energy efficiency by 5.6×. Liu et al. [97] presented an integrated system for a UAV landing on a moving platform. The UAV could track the object dynamically in a complicated environment. In [98], Palossi et al. demonstrated a navigation engine for autonomous nano-drones endowed with end-to-end DNN-based visual navigation based on a novel parallel ultra-low-power computing platform. In [99], Albanese et al. presented a modular system with landing pad detection and facial recognition ML algorithms on a resource-constrained UAV in real-time.
In particular, to meet Real-Time (RT) deadlines, in [100], Delgado et al. achieved an RT control system based on Xenomai, an RT-embedded Linux, to overcome issues concerning the communication interface between RT and NRT (Non-Real-Time) tasks. In [101], the improved RT visual servo system is also combined with Xenomai. In [102], aiming at the requirements of multi-channel, low-power consumption, and small volume for receiver extension of ornaments of multichannel, low-power consumption of the system, a multi-channel signal acquisition and storage system based on FPGA and STM32 with a heterogeneous system of working channels and the sampling frequency is designed. In [25], their experiments were achieved on three large-scale data sets. They demonstrated an approach compared to the state-of-the-art methods concerning the recall rate of place recognition and pose estimation accuracy with significant advances.
In summary, though there are massive amounts of visual information and data required, the real-time landing could be realized with simpler algorithms and more powerful FMS.

4.2. Intelligent Fault Diagnosis and Detection

The concept of PMSM drive design is central to high-reliable FMS. In particular, it has attracted more attention from scholars in the aerospace domain for safety-critical applications [103]. The complicated control method requires high computational resources to avoid catastrophic performance during flight. One possibility is to utilize hardware accelerators such as Field Programmable Gate Array (FPGA) instead of Digital Signal Processors (DSP). Typically, several accelerators for CNN have been proposed based on FPGA owing to its high performance and reconfigurability [104].
To enable deep learning inference on RISC-V, Kong et al. [105] compared the performance of the DL interface on RISC-V and on ×86. For the vision of “AI on RISC-V”, they implemented the ResNet-20 [106] deep neural network on three different platforms: Xilinx PYNQ Z2 FPGA based on RISC-V (50 MHz, one core), RISCV-QEMU, and an ×86 server. Furthermore, CIFAR-10 dataset [107] is utilized for modeling, which consists of 60,000 color images and corresponding labels. They contributed to reducing the development cycle of deep learning inference applications targeting RISC-V by cross-compilation. In [108], a configurable RVV-based (RISC-V Vector) Arrow accelerator architecture is proposed and evaluated for edge machine learning. Integrated with a subset of the RVV v0.9 ISA extension, a configurable vector co-processor known as Arrow was achieved. Their experiment demonstrated a faster (2–78× increase in speed) but lower-energy-consuming (20–99% less) benchmark result using a Xilinx board. Another RISC-V acceleration possibility of the ML algorithm is proposed in [109]. They exploited Data-Level Parallelism (DLP) to improve the controller’s performance in two ways: execution of the same instruction and segmenting of large vectors. Their experiments were based on three platforms with 1.298 W at a maximum frequency of 50 MHz and 0.813 W, with a max frequency of 100 MHz. Similar to [105], ResNet-50 [106] serves as the ML benchmark. Their promising work gave significant improvements when the processor ran up to 100 MHz. In addition, the simultaneous-switching switched-capacitor dc/dc converters were also tightly integrated with an adaptive clock generator. An Adaptive Voltage Scaling (AVS) algorithm was proposed to track changes in the program phase, allowing for consistent energy consumption. Their prototype showed a bright future for energy-efficient mobile SoC design.
In [110], Richter et al. presented an RISC-V-based microprocessor for higher computational capability and efficient dc/dc converters. Their research modified the most critical features of the SoC to increase their reliability and error resilience. Benefiting from the RISC-V architecture instead of traditional Complex Instruction Set Computer (CISC), the processor was proposed to react to threshold violations on measurement inputs of 40 MHz. Their processor accomplished a benchmark score of 2.03 CoreMark per MHz. Towards safer features and characteristics, the coupling of heterogeneous SoC with multi-phase synchronization will be addressed in their future work. Such integration work based on RISC-V Vector processors and dc/dc converters has shown great potential in low-cost devices [111]. An example of the SoC layout with the integration of RISC-V and PWM units is shown in Figure 9.
To sum up, the main constraints for utilizing AI and hardware accelerators for rotating machinery fault detection and diagnosis are listed. Furthermore, parallel computing also plays an essential role by simultaneously carrying out many calculations or processes.

4.3. Controller-Embeddable Power Electronics

Embedded control and drive systems are faced with the exponential complexity of the algorithm. The utilization of FPGA for generating different types of PWM for power electronics applications has earned increased attention steadily over the last few years [112]. In [113], a codesign workflow and a runtime architecture for the heterogeneous deployment of multi-rate control algorithms were presented. Code migration toward the FPGA exploits high-level synthesis, while a Linux-Xenomai dual-kernel operating system manages the synchronization and parallel execution of tasks.
Motor Current Signature Analysis (MCSA) effectively builds the fault library and monitors conditions [114]. The method is achieved by observing the changes between the harmonic content of the motor line current under the fault occurrence [115]. However, the real-time deadline is hard to meet when implementing this technique on the controller. Thus, the acceleration for hardware implementation is highly demanded. Swakath et al. [116] presented an approach with an RISC-V microcontroller to avoid cyber-attacks. Schiavone et al. [117] proposed a novel fully programmable RISC-V microcontroller unit (MCU) called Arnold. Their proposed SoC delivers 3.4 × better performance and 2.9 × better energy efficiency than other same level heterogenous reconfigurable SoCs. As for low-power constraints, in [118], Keller et al. presented an RISC-V SoC with integrated voltage regulation and a power management system with the vector accelerator. Regarding UAVs, Kuehne et al. [119] proposed an efficient parallelization strategy for optical flow computation by integrating low-power RISC-V-based microcontroller units. A parallel architecture meets the real-time deadline with a complex algorithm. In addition, they presented a paradigm that allows the execution of optimization and acceleration of data processing. Their experiments demonstrated that a commercial MCU’s eight-core permitted cluster for a frame rate of 500 frames per second when running on a 50 MHz clock frequency. Based on their work, the FMS could be optimized, and the maximum flight speed could be increased with a 7.21 × speedup with 500 frames per second on a 50 MHz clock frequency. In [3], a multi-GOPS fully programmable RISC-V IoT-edge computing engine featuring an 8-core cluster with a CNN accelerator is proposed, which is called GAP8. The power efficiency was maximized while the number of external components was minimized. Leveraging GAP-8 could lead to the low-cost and high-performance design of the flight management system according to work in [120]. Their results provided confidential evidence that combining the RISC-V accelerator and CNN enables better flight management applications with rich data-sensing capabilities. Overall, the heterogeneity level demands complex hardware and a full software stack to orchestrate the implementation and manipulate platform features [75].
Coming at the cost of harder controllability and more complex control circuits, a low-cost solution for easier and safer control power modules is expected [110]. However, few studies have been able to draw on any systematic research into RISC-V applications with power electronics and Pulse-Width-Modulation (PWM) controllers.
Overall, the heterogeneous integration for embedded control and drive system could be a promising technology for future integrated electrical drive and PE systems [121].

5. Discussion

Given the resource-constrained nature of the UAV, achieving high control and managing frequency presents a problematic task [122]. Furthermore, prior studies have shown that computing latency is essential for increasing the computing speed of UAV, which leads to low-power consumption during missing process [123]. In reviewing the literature, more data were needed on the association among AI, hardware accelerators, and FMS. Further studies on the current topic are therefore recommended. Furthermore, the following possibilities for the next generation of FMS will be analyzed in-depth and investigated.
HW/SW Co-design FMS: To improve the overall performance, FPGA-based SoC solutions for the FMS computing platform will be essential [124]. However, the computational cost of ML-based solutions leads to the software-only and hardware-only frameworks being inadequate for real-time monitoring on embedded devices [125]. Thus, the co-design of FMS is key to next-generation development. Nyboe et al. proposed an open framework of UAV development for ROS2, PX4, and FPGA integration [10]. In [122], Krishnan et al. showed the framework’s effectiveness by orienting an obstacle avoidance algorithm for UAVs to navigate. Their framework develops various accelerator design possibilities for the best-performing algorithm with variable routine, area, and power consumption. However, changing workloads on reconfiguring robotic computing at run-time during flight could lead to non-real-time performance. In addition, suffering from diverse hardware components and inefficient ROS support, better mapping aerial robotic computing on heterogeneous platforms poses a difficult but worthwhile challenge to overcome [4]. Thus, the next important topic is investigating hardware/software (HW/SW) co-design in FMS characterized by heterogeneity. When integrating the popular RISC-V FPGA platform toward ROS-based UAV application [126], approaches to achieve the benchmark for the robust heterogeneous system are demanding. Overall, although there are differing thoughts on the implementation of hardware and software [127], the satisfied integration of heterogeneous HW/SW Co-design for FMS could decrease the development process from FPGA to ASIC [128], which is essential for the time-to-market factor in developing. Furthermore, as for the FL topic, Wang et al. [129] proposed an HW/SW co-designed FPGA accelerator. Overall, a further step for integrating TinyML co-design application from HW-SW [130] to ML-HW [131] on FMS could provide immense potential.
High-performance control of electric machine drives: Compared to the traditional sensorless control of PMSM drives, such as state observers, Kalman filters, fuzzy logic, high-frequency signal injection, etc. [66,132], AI-based methods provide more high-performance solutions for inverter nonlinearities [133]. Figure 10 illustrates the ANN-based structure scheme for improving IPMSM sensorless control. Using a power converter system, the intelligent controller of the FMS with computation-light and data-light AI possesses immense potential [18].
Integration with Digital Twin: In the industry 4.0 era, the Digital Twin (DT) concept allows for rapid analysis and real-time decisions made through accurate analytics [135]. As Mandi et al. [136] defined digital twin in 2019, “A DT is a virtual instance of a physical system (twin) that is continually updated with the latter’s performance, maintenance, and health status data throughout the physical system’s life cycle.” To accelerate the design of FMS, the DT technology could serve as a powerful tool. Kapteyn et al. [137,138] presented a series of probabilistic graphical models for enabling predictive digital twins at scale with a machine learning interface. In [139], a desktop electric machine emulator implementation method was proposed based on phase voltage reconstruction. In [140], the real-time FPGA-DT was applied to the health monitoring of the power electronic transformer. Furthermore, the advanced 5G technology empowered the FL and DT with superior effectiveness and efficiency to unravel heterogeneity, such as the work shown in [29]. In the PE area, Mulinka et al. [141] proposed a DT for fault diagnosis with limited data while Milton et al. [142,143] generated an FPGA-based with a controller-embeddable probabilistic DT Open Real-Time Simulation (ORTiS) framework with C++ for high performance and converter diagnostics of PE system. In summary, the integration and utilization of DT technology could reduce the life cycle of product development for UAVs.
Addressing FMS practical implementation: Alhough the advances in more electric power distribution systems [144], modern perception techniques [145], and intelligent fault tolerant control methods [146] have opened up new potentials for self-sufficient payload transportation from mini-UAV to heavy-load-UAV, the fusion of AI with FMS into practical implementation in defining future UAV is challenging [147]. Furthermore, incorporating as much modeling and data of the vehicle as possible into the considered heterogeneous FMS is also difficult. Thus, a suitable UAV platform is fundamental to bringing it into real-world application. According to the review by Ducard et al., [148], aerial platforms can normally be divided into two categories: (I) vertical take-off and landing (VTOL) or rotary-wing (RW), such as helicopter and multi-rotor platforms, and (II) fixed-wing (FW) aircraft. The work from Kuehne et al. [119] provided convincing results by integrating parallelizing optical flow estimation on an RISC-V Cluster for RW. As for the VTOL and FW, advancements in the next-generation FMS could be conducted to provide significant performance with complex controlling allocation.
Furthermore, as AI-based FMS could be injected by cyber-attacks with manipulated signals to mislead the UAV flight path and decision-making process, causing disastrous consequences, the design of security solutions for preventing the attacks is pivotal. The integrated accelerated Federated Learning paradigm could well preserve privacy, data security, and communication confidentiality while enabling a collaborative model [149,150]. Zhao et al. [63] utilized unique characteristic measurements from voltage and current sensors at the point of common coupling to construct the ML model for detecting false data injection attacks. Mowla et al. [151] proposed a Flying Ad hoc Network (FANET) to serve as cognitive detection of the jamming attack. At the hardware level, since transient faults are increasingly posing threats to FMS, Wan et al. [152] proposed two cost-effective fault detection and recovery approaches with Federated reinforcement learning (FRL) to a more reliable navigation system.
Lastly, the limited battery life of UAVs could be affected when the FMS is in between deployments, especially implementing complex AI-based solutions. Furthermore, only some scholars have studied the influence of limited batteries on FL UAV systems [153]. To optimize energy efficiency and contribute to the next generation of UAV electrical systems [154], setting up optimization constraints based on energy consumption could be considerable [155]. At the path planning level, several approaches [156,157] are proposed to minimize the total energy consumption by UAVs for ML-added-well planned trajectory. At the task management level, Wan et al. [4] presented a runtime-reconfigurable compute platform to enable aerial robots to be adaptive in diverse environments so that the task of battery management is highly dynamic for different workloads. At the coding level, converting the AI model into edge-deployment-friendly types, such as TensorFlow Lite [158], ONNX [159], and NCNN [160] could help. Because only a few battery-relevant constraints have been identified and considered during the UAV design [161], it is worthwhile to invest in monitoring battery management during FMS practical implementation.

6. Conclusions

Heterogeneous UAV FMS design is a rising focal research point that demands reliable and efficient computing substrates. This paper illustrates the loop-closure of UAV FMS design and cross-layer stacks, which contributes systematically to the high-performance, high-reliable, and low-cost electrical UAV architecture. In addition, the computing acceleration possibilities with small-scale data ML, accelerated FL, and hardware accelerators are denoted. We also survey research of typical FMS application cases, including visual-guided landing, intelligent FDD, and controller-embeddable PE. The abovementioned issues represent the research that focuses on heterogeneous FMS design conducted decades ago in [7]. With the rapid advancement of tools at the data-, model-, and HW levels developed in recent years and summarized in this study, the next-generation FMS is expected to show more significant potential in new ways. Regarding this matter, the FMaaS platform is nearing the achievement of becoming a fully developed product for UAVs.
However, the incorporation of heterogeneous FMS into the real-world environment poses significant challenges. Consequently, the critical practical concerns are identified and discussed below. These issues will also serve as the basis for future work, highlighting the theoretical and practical importance of this study.
  • HW/SW Co-design FMS: The HW/SW co-design process could accelerate implementation and provide more compact and satisfying solutions.
  • High-performance control of electrical machine drives: The implementation of AI-based FMS can offer increased options for enhancing the performance of UAVs.
  • Integration with DT: Integrating DT can potentially decrease the duration of the product development life cycle for UAVs.
  • Determination of the suitable UAV platform: The appropriate choice of UAV platform is essential to harness the potential of FMS to the greatest extent.
  • Security and battery concerns: Employing FL can address privacy concerns while considering battery-life constraints that can improve the reliability and performance of UAVs.

Author Contributions

Conceptualization, G.W. and C.G.; methodology, J.W.; validation, J.L.; writing—original draft preparation, G.W.; writing—review and editing, J.L.; supervision, H.Z. and X.C.; funding acquisition, H.Z. and X.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by Ningbo Key Scientific and Technological Project under Grant 2022Z040, and Ningbo Science and Technology Bureau under Grant 2022Z019.

Data Availability Statement

Data sharing not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
5G5th Generation
AIArtificial Intelligence
ANNArtificial Neural Network
ASICApplication-specific integrated circuit
AVSAdaptive Voltage Scaling
CISCComplex Instruction Set Computer
CNNConvolutional Neural Network
CPUCentral Processing Unit
CVComputer Vision
DADomain Adaption
DAADetection Furthermore, Avoidance
DCDirect Current
DGADissolved Gas Analysis
DLDeep Learning
DLPData-Level Parallelism
DNNDeep Neural Network
DSPDigital Signal Processor
DTDigital Twin
ECEdge Computing
FANETFlying Ad-hoc Network
FCSFlight Control System
FDDFault Detection and Diagnosis
FDIFalse Data Injection
FLFederated Learning
FMaaSFlight-Management-as-a-Service
FMSFlight Management System
FPGAField Programmable Gate Array
FRLFederated Reinforcement Learning
FSLFew-shot Learning
FWFixed-Wing
GOPSGiga Operations Per Second
GPSGlobal Position System
GPUGraphic Processing Unit
HCHeterogeneous Computing
HWHardware
IaaSInfrastructure-as-a-Service
IDDIndependent and Identically Distributed
IMUsInertial Measurement Unit
IoTInternet of Things
IPMSMInterior Permanent Magnet Synchronous Motor
ISAInstruction Set Architecture
LidarLight detection and ranging
MCSAMotor Current Signature Analysis
MCUMicro Controller Unit
MGDMomentum Gradient Descent
MIMOMulti-Input Multi-Output
MLMachine Learning
NRTNon-Real-Time
ODEsOrdinary Differential Equations
OpsOperations
ORTiSOpen Real-Time Simulation
OSHOpen-Source Hardware
PCAPrincipal component analysis
PEPower Electronics
PIDPropotional-Integral-Derivative
PMSNPermanent Magnet Synchronous Machine
PQSUPruning, Quantization, and Selective Updating
PROSACPROgressive SAmple Consensus
PULPParallel Ultra-Low Power
PVPhotovoltaic
PWMPulse-Width-Modulation
RISC-VReduced Instruction-Set Computer-Five
RLReinforcement Learning
ROSRobot Operating System
RTReal-Time
RULRemaining Useful Life
RWRotary Wing
SGDStochastic Gradient Descent
SLAMSimultaneous Localization Furthermore, Mapping
SLZSafe Landing Zone
SoCSystem on Chips
SWSoftware
SWaP-CSize, Weight, Power and Cost
TLTransfer Learning
ToFTime-of-Flight
UAVsUnmanned Aerial Vehicles
VTOLVertical Take Off and Landing

References

  1. Liu, Y.; Dai, H.N.; Wang, Q.; Shukla, M.K.; Imran, M. Unmanned aerial vehicle for internet of everything: Opportunities and challenges. Comput. Commun. 2020, 155, 66–83. [Google Scholar] [CrossRef] [Green Version]
  2. Ahmed, F.; Jenihhin, M. A Survey on UAV Computing Platforms: A Hardware Reliability Perspective. Sensors 2022, 22, 6286. [Google Scholar] [CrossRef]
  3. Flamand, E.; Rossi, D.; Conti, F.; Loi, I.; Pullini, A.; Rotenberg, F.; Benini, L. GAP-8: A RISC-V SoC for AI at the Edge of the IoT. In Proceedings of the 2018 IEEE 29th International Conference on Application-Specific Systems, Architectures and Processors (ASAP), Milano, Italy, 10–12 July 2018; pp. 1–4. [Google Scholar] [CrossRef]
  4. Wan, Z.; Lele, A.; Yu, B.; Liu, S.; Wang, Y.; Reddi, V.J.; Hao, C.; Raychowdhury, A. Robotic computing on fpgas: Current progress, research challenges, and opportunities. In Proceedings of the 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS), Incheon, Republic of Korean, 13–15 June 2022; pp. 291–295. [Google Scholar] [CrossRef]
  5. Khan, S.; Liew, C.F.; Yairi, T.; McWilliam, R. Unsupervised anomaly detection in unmanned aerial vehicles. Appl. Soft Comput. 2019, 83, 105650. [Google Scholar] [CrossRef]
  6. Iranfar, A.; Shahsavani, S.N.; Kamal, M.; Afzali-Kusha, A. A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs. In Proceedings of the 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Rome, Italy, 22–24 July 2015; pp. 291–296. [Google Scholar] [CrossRef]
  7. Liu, X.; Liu, J.; Eker, J.; Lee, E.A. Heterogeneous modeling and design of control systems. In Software-Enabled Control: Information Technology for Dynamical Systems; Wiley: Hoboken, NJ, USA, 2003; pp. 105–122. [Google Scholar]
  8. Wu, Q.; Shen, Y.; Zhang, M. Heterogeneous Computing and Applications in Deep Learning: A Survey. In Proceedings of the 2022 5th International Conference on Computer Science and Software Engineering (CSSE 2022), Guilin, China, 21–23 October 2022; pp. 383–387. [Google Scholar] [CrossRef]
  9. Lunlong, Z.; Jiongpo, L. Analysis of Requirements and Architecture of the Next Generation Flight Management System. In Proceedings of the 2020 7th International Conference on Information Science and Control Engineering (ICISCE), Changsha, China, 18–20 December 2020; pp. 1678–1682. [Google Scholar] [CrossRef]
  10. Nyboe, F.F.; Malle, N.H.; Ebeid, E. MPSoC4Drones: An Open Framework for ROS2, PX4, and FPGA Integration. In Proceedings of the 2022 International Conference on Unmanned Aircraft Systems (ICUAS), Dubrovnik, Croatia, 21–24 June 2022; pp. 1246–1255. [Google Scholar] [CrossRef]
  11. Neuman, S.M.; Plancher, B.; Duisterhof, B.P.; Krishnan, S.; Banbury, C.; Mazumder, M.; Prakash, S.; Jabbour, J.; Faust, A.; de Croon, G.C.; et al. Tiny Robot Learning: Challenges and Directions for Machine Learning in Resource-Constrained Robots. In Proceedings of the 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS), Incheon, Republic of Korean, 13–15 June 2022; pp. 296–299. [Google Scholar] [CrossRef]
  12. Lies, W.A.; Narula, L.; Iannucci, P.A.; Humphreys, T.E. Low SWaP-C Radar for Urban Air Mobility. In Proceedings of the 2020 IEEE/ION Position, Location and Navigation Symposium (PLANS), Portland, OR, USA, 20–23 April 2020; pp. 74–80. [Google Scholar] [CrossRef]
  13. Kunle Olukotun: Scaling Machine Learning Performance—Breakfast Bytes— Cadence Blogs—Cadence Community. 2017. Available online: https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/enns-olukoton (accessed on 13 April 2023).
  14. Bithas, P.S.; Michailidis, E.T.; Nomikos, N.; Vouyioukas, D.; Kanatas, A.G. A Survey on Machine-Learning Techniques for UAV-Based Communications. Sensors 2019, 19, 5170. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  15. Wan, Z.; Yu, B.; Li, T.Y.; Tang, J.; Zhu, Y.; Wang, Y.; Raychowdhury, A.; Liu, S. A Survey of FPGA-Based Robotic Computing. IEEE Circuits Syst. Mag. 2021, 21, 48–74. [Google Scholar] [CrossRef]
  16. Fourlas, G.K.; Karras, G.C. A Survey on Fault Diagnosis and Fault-Tolerant Control Methods for Unmanned Aerial Vehicles. Machines 2021, 9, 197. [Google Scholar] [CrossRef]
  17. Gu, C.; Wheeler, P.; Castellazzi, A.; Watson, A.J.; Effah, F. Semiconductor Devices in Solid-State/Hybrid Circuit Breakers: Current Status and Future Trends. Energies 2017, 10, 495. [Google Scholar] [CrossRef]
  18. Zhao, S.; Blaabjerg, F.; Wang, H. An Overview of Artificial Intelligence Applications for Power Electronics. IEEE Trans. Power Electron. 2020, 36, 4633–4658. [Google Scholar] [CrossRef]
  19. Ruospo, A.; Cantoro, R.; Sanchez, E.; Schiavone, P.D.; Garofalo, A.; Benini, L. On-line Testing for Autonomous Systems driven by RISC-V Processor Design Verification. In Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Noordwijk, The Netherlands, 2–4 October 2019; pp. 1–6. [Google Scholar] [CrossRef]
  20. Mao, W.; Xiao, Z.; Xu, P.; Ren, H.; Liu, D.; Zhao, S.; An, F.; Yu, H. Energy-Efficient Machine Learning Accelerator for Binary Neural Networks. In Proceedings of the 2020 on Great Lakes Symposium on VLSI GLSVLSI ’20; Association for Computing Machinery: New York, NY, USA, 2020; pp. 77–82. [Google Scholar] [CrossRef]
  21. Moeyersons, J.; Gevaert, M.; Réculé, K.E.; Volckaert, B.; Turck, F.D. UAVs-as-a-Service: Cloud-based Remote Application Management for Drones. In Proceedings of the 2021 IFIP/IEEE International Symposium on Integrated Network Management (IM), Bordeaux, France, 18–20 May 2021; pp. 926–931. [Google Scholar]
  22. Medara, R.; Singh, R.S. A Review on Energy-Aware Scheduling Techniques for Workflows in IaaS Clouds. Wirel. Pers. Commun. 2022, 125, 1545–1584. [Google Scholar] [CrossRef]
  23. Crockett, L.; Northcote, D.; Ramsay, C.; Robinson, F.; Stewart, R. Exploring Zynq MPSoC: With PYNQ and Machine Learning Applications; Strathclyde Academic Media: Strathclyde, UK, 2019. [Google Scholar]
  24. Lunze, J. Regelungstechnik 2: Mehrgrößensysteme, Digitale Regelung; Springer: Berlin, Germany, 2014. [Google Scholar]
  25. Luo, L.; Cao, S.Y.; Han, B.; Shen, H.L.; Li, J. BVMatch: Lidar-Based Place Recognition Using Bird’s-Eye View Images. IEEE Robot. Autom. Lett. 2021, 6, 6076–6083. [Google Scholar] [CrossRef]
  26. Liegmann, E.; Karamanakos, P.; Kennel, R. Real-Time Implementation of Long-Horizon Direct Model Predictive Control on an Embedded System. IEEE Open J. Ind. Appl. 2021, 3, 1–12. [Google Scholar] [CrossRef]
  27. Wu, Y. Development Prospects of Intelligent Flight Technology of China’s Space Transportation System. J. Astronaut. 2023, 44, 313–321. [Google Scholar] [CrossRef]
  28. Wang, Y.; Yao, Q.; Kwok, J.T.; Ni, L.M. Generalizing from a Few Examples: A Survey on Few-Shot Learning. ACM Comput. Surv. 2020, 53, 1–34. [Google Scholar] [CrossRef]
  29. Li, Z.; He, Y.; Yu, H.; Kang, J.; Li, X.; Xu, Z.; Niyato, D. Data Heterogeneity-Robust Federated Learning via Group Client Selection in Industrial IoT. IEEE Internet Things J. 2022, 9, 17844–17857. [Google Scholar] [CrossRef]
  30. Parnami, A.; Lee, M. Learning from few examples: A summary of approaches to few-shot learning. arXiv 2022. [Google Scholar] [CrossRef]
  31. Zhuang, F.; Qi, Z.; Duan, K.; Xi, D.; Zhu, Y.; Zhu, H.; Xiong, H.; He, Q. A comprehensive survey on transfer learning. Proc. IEEE; 2020; 109, pp. 43–76. [Google Scholar] [CrossRef]
  32. Zhang, S.; Su, L.; Gu, J.; Li, K.; Zhou, L.; Pecht, M. Rotating machinery fault detection and diagnosis based on deep domain adaptation: A survey. Chin. J. Aeronaut. 2023, 36, 45–74. [Google Scholar] [CrossRef]
  33. Goodfellow, I.J.; Bengio, Y.; Courville, A. Deep Learning; MIT Press: Cambridge, MA, USA, 2016; Available online: http://www.deeplearningbook.org (accessed on 13 April 2023).
  34. Mwase, C.; Jin, Y.; Westerlund, T.; Tenhunen, H.; Zou, Z. Communication-efficient distributed AI strategies for the IoT edge. Future Gener. Comput. Syst. 2022, 131, 292–308. [Google Scholar] [CrossRef]
  35. Banbury, C.R.; Reddi, V.J.; Lam, M.; Fu, W.; Fazel, A.; Holleman, J.; Huang, X.; Hurtado, R.; Kanter, D.; Lokhmotov, A.; et al. Benchmarking tinyml systems: Challenges and direction. arXiv 2020. [Google Scholar] [CrossRef]
  36. Liu, H.; Song, P.; Qie, Y.; Li, Y. Real-time Prediction Method of Remaining Useful Life Based on TinyML. In Proceedings of the 2022 IEEE International Conference on Real-time Computing and Robotics (RCAR), Datong, China, 17–22 July 2022; pp. 693–698. [Google Scholar] [CrossRef]
  37. Islam, M.S.; Verma, H.; Khan, L.; Kantarcioglu, M. Secure Real-Time Heterogeneous IoT Data Management System. In Proceedings of the 2019 First IEEE International Conference on Trust, Privacy and Security in Intelligent Systems and Applications (TPS-ISA), Los Angeles, CA, USA, 14–17 December 2019; pp. 228–235. [Google Scholar] [CrossRef]
  38. Liu, H.; Wei, Z.; Zhang, H.; Li, B.; Zhao, C. Tiny Machine Learning (Tiny-ML) for Efficient Channel Estimation and Signal Detection. IEEE Trans. Veh. Technol. 2022, 71, 6795–6800. [Google Scholar] [CrossRef]
  39. Zaidi, S.A.R.; Hayajneh, A.M.; Hafeez, M.; Ahmed, Q.Z. Unlocking Edge Intelligence Through Tiny Machine Learning (TinyML). IEEE Access 2022, 10, 100867–100877. [Google Scholar] [CrossRef]
  40. Ren, H.; Anicic, D.; Runkler, T.A. TinyOL: TinyML with Online-Learning on Microcontrollers. In Proceedings of the 2021 International Joint Conference on Neural Networks (IJCNN), Shenzhen, China, 18–22 July 2021; pp. 1–8. [Google Scholar] [CrossRef]
  41. Antonini, M.; Pincheira, M.; Vecchio, M.; Antonelli, F. An Adaptable and Unsupervised TinyML Anomaly Detection System for Extreme Industrial Environments. Sensors 2023, 23, 2344. [Google Scholar] [CrossRef]
  42. Tabanelli, E.; Tagliavini, G.; Benini, L. Optimizing Random Forest-Based Inference on RISC-V MCUs at the Extreme Edge. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2022, 41, 4516–4526. [Google Scholar] [CrossRef]
  43. Kopparapu, K.; Lin, E.; Breslin, J.G.; Sudharsan, B. TinyFedTL: Federated Transfer Learning on Ubiquitous Tiny IoT Devices. In Proceedings of the 2022 IEEE International Conference on Pervasive Computing and Communications Workshops and Other Affiliated Events (PerCom Workshops), Biarritz, France, 11–15 March 2022; pp. 79–81. [Google Scholar] [CrossRef]
  44. Costa, D.; Costa, M.; Pinto, S. Train Me If You Can: Decentralized Learning on the Deep Edge. Appl. Sci. 2022, 12, 4653. [Google Scholar] [CrossRef]
  45. Li, L.; Fan, Y.; Tse, M.; Lin, K.Y. A review of applications in federated learning. Comput. Ind. Eng. 2020, 149, 106854. [Google Scholar] [CrossRef]
  46. Hou, X.; Wang, J.; Jiang, C.; Zhang, X.; Ren, Y.; Debbah, M. UAV-Enabled Covert Federated Learning. IEEE Trans. Wirel. Commun. 2023, 1. [Google Scholar] [CrossRef]
  47. Li, T.; Sahu, A.K.; Talwalkar, A.; Smith, V. Federated Learning: Challenges, Methods, and Future Directions. IEEE Signal Process. Mag. 2020, 37, 50–60. [Google Scholar] [CrossRef]
  48. Li, H.; Ota, K.; Dong, M. Learning IoT in edge: Deep learning for the Internet of Things with edge computing. IEEE Netw. 2018, 32, 96–101. [Google Scholar] [CrossRef] [Green Version]
  49. AbdulRahman, S.; Tout, H.; Ould-Slimane, H.; Mourad, A.; Talhi, C.; Guizani, M. A survey on federated learning: The journey from centralized to distributed on-site learning and beyond. IEEE Internet Things J. 2020, 8, 5476–5497. [Google Scholar] [CrossRef]
  50. Berghout, T.; Benbouzid, M.; Bentrcia, T.; Lim, W.H.; Amirat, Y. Federated Learning for Condition Monitoring of Industrial Processes: A Review on Fault Diagnosis Methods, Challenges, and Prospects. Electronics 2022, 12, 158. [Google Scholar] [CrossRef]
  51. Ma, X.; Wen, C.; Wen, T. An Asynchronous and Real-Time Update Paradigm of Federated Learning for Fault Diagnosis. IEEE Trans. Ind. Inform. 2021, 17, 8531–8540. [Google Scholar] [CrossRef]
  52. Xu, Z.; Yang, Z.; Xiong, J.; Yang, J.; Chen, X. Elfish: Resource-aware federated learning on heterogeneous edge devices. Ratio 2019, 2, r2. [Google Scholar]
  53. Grau, M.M.; Centelles, R.P.; Freitag, F. On-Device Training of Machine Learning Models on Microcontrollers with a Look at Federated Learning. In Proceedings of the GoodIT ’21: Proceedings of the Conference on Information Technology for Social Good, Lisbon, Portugal, 6–8 September 2021; pp. 198–203. [Google Scholar] [CrossRef]
  54. Jin, J.; Ren, J.; Zhou, Y.; Lyu, L.; Liu, J.; Dou, D. Accelerated Federated Learning with Decoupled Adaptive Optimization. arXiv 2022. [Google Scholar] [CrossRef]
  55. Liu, W.; Chen, L.; Chen, Y.; Zhang, W. Accelerating Federated Learning via Momentum Gradient Descent. IEEE Trans. Parallel Distrib. Syst. 2019, 31, 1754–1766. [Google Scholar] [CrossRef] [Green Version]
  56. Ozfatura, E.; Ozfatura, K.; Gündüz, D. FedADC: Accelerated Federated Learning with Drift Control. In Proceedings of the 2021 IEEE International Symposium on Information Theory (ISIT), Espoo, Finland, 26 June–1 July 2021; pp. 467–472. [Google Scholar] [CrossRef]
  57. Xu, C.; Hong, Z.; Huang, M.; Jiang, T. Acceleration of Federated Learning with Alleviated Forgetting in Local Training. arXiv 2022. [Google Scholar] [CrossRef]
  58. Wang, Z.; Xu, H.; Liu, J.; Xu, Y.; Huang, H.; Zhao, Y. Accelerating Federated Learning with Cluster Construction and Hierarchical Aggregation. IEEE Trans. Mob. Comput. 2022, 1. [Google Scholar] [CrossRef]
  59. Hanzely, F.; Hanzely, S.; Horváth, S.; Richtárik, P. Lower bounds and optimal algorithms for personalized federated learning. Adv. Neural Inf. Process. Syst. 2020, 33, 2304–2315. [Google Scholar]
  60. Xu, W.; Fang, W.; Ding, Y.; Zou, M.; Xiong, N. Accelerating Federated Learning for IoT in Big Data Analytics With Pruning, Quantization and Selective Updating. IEEE Access 2021, 9, 38457–38466. [Google Scholar] [CrossRef]
  61. Lee, H.S.; Lee, D.E. Resource allocation in wireless networks with federated learning: Network adaptability and learning acceleration. ICT Express 2022, 8, 31–36. [Google Scholar] [CrossRef]
  62. Li, L.; Xiong, H.; Guo, Z.; Wang, J.; Xu, C.Z. SmartPC: Hierarchical Pace Control in Real-Time Federated Learning System. In Proceedings of the 2019 IEEE Real-Time Systems Symposium (RTSS), Hong Kong, 3–6 December 2019; pp. 406–418. [Google Scholar] [CrossRef]
  63. Zhao, L.; Li, J.; Li, Q.; Li, F. A Federated Learning Framework for Detecting False Data Injection Attacks in Solar Farms. IEEE Trans. Power Electron. 2021, 37, 2496–2501. [Google Scholar] [CrossRef]
  64. Lin, J.; Ma, J.; Zhu, J. Hierarchical Federated Learning for Power Transformer Fault Diagnosis. IEEE Trans. Instrum. Meas. 2022, 71, 1–11. [Google Scholar] [CrossRef]
  65. Babu, P.; Parthasarathy, E. Reconfigurable FPGA architectures: A survey and applications. J. Inst. Eng. India Ser. B 2021, 102, 143–156. [Google Scholar] [CrossRef]
  66. Zhang, S. Artificial Intelligence in Electric Machine Drives: Advances and Trends. arXiv 2021. [Google Scholar] [CrossRef]
  67. Jiao, B.; Zhang, J.; Xie, Y.; Wang, S.; Zhu, H.; Kang, X.; Dong, Z.; Zhang, L.; Chen, C. A 0.57-GOPS/DSP Object Detection PIM Accelerator on FPGA. In Proceedings of the ASPDAC’21: Proceedings of the 26th Asia and South Pacific Design Automation Conference; Tokyo, Japan, 18–21 January 2021, pp. 13–14. [CrossRef]
  68. Bryant, R.E.; O’Hallaron, D.R. Computer Systems: A Programmer’s Perspective, 2nd ed.; Addison-Wesley Publishing Company: Boston, MA, USA, 2010. [Google Scholar]
  69. Bringmann, O.; Ecker, W.; Feldner, I.; Frischknecht, A.; Gerum, C.; Hämäläinen, T.; Hanif, M.A.; Klaiber, M.J.; Mueller-Gritschneder, D.; Bernardo, P.P.; et al. Automated HW/SW co-design for edge AI. In Proceedings of the CODES/ISSS ’21: Proceedings of the 2021 International Conference on Hardware/Software Codesign and System Synthesis, Virtual Conference, 8–15 October 2021; pp. 11–20. [Google Scholar] [CrossRef]
  70. Kalapothas, S.; Galetakis, M.; Flamis, G.; Plessas, F.; Kitsos, P. A Survey on RISC-V-Based Machine Learning Ecosystem. Information 2023, 14, 64. [Google Scholar] [CrossRef]
  71. Rodrigues, C.; Marques, I.; Pinto, S.; Gomes, T.; Tavares, A. Towards a Heterogeneous Fault-Tolerance Architecture based on Arm and RISC-V Processors. In Proceedings of the IECON 2019—45th Annual Conference of the IEEE Industrial Electronics Society, Lisbon, Portugal, 14–17 September 2019; pp. 3112–3117. [Google Scholar] [CrossRef]
  72. Sanchez-Flores, A.; Alvarez, L.; Alorda-Ladaria, B. A review of CNN accelerators for embedded systems based on RISC-V. In Proceedings of the 2022 IEEE International Conference on Omni-Layer Intelligent Systems (COINS), Barcelona, Spain, 1–3 August 2022; pp. 1–6. [Google Scholar] [CrossRef]
  73. Chen, C.; Xiang, X.; Liu, C.; Shang, Y.; Guo, R.; Liu, D.; Lu, Y.; Hao, Z.; Luo, J.; Chen, Z.; et al. Xuantie-910: A Commercial Multi-Core 12-Stage Pipeline Out-of-Order 64-bit High Performance RISC-V Processor with Vector Extension: Industrial Product. In Proceedings of the 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 30 May–3 June 2020; pp. 52–64. [Google Scholar] [CrossRef]
  74. Prakash, S.; Callahan, T.; Bushagour, J.; Banbury, C.; Green, A.V.; Warden, P.; Ansell, T.; Reddi, V.J. Cfu playground: Full-stack open-source framework for tiny machine learning (tinyml) acceleration on fpgas. arXiv 2022. [Google Scholar] [CrossRef]
  75. Bruschi, N.; Haugou, G.; Tagliavini, G.; Conti, F.; Benini, L.; Rossi, D. GVSoC: A Highly Configurable, Fast and Accurate Full-Platform Simulator for RISC-V based IoT Processors. In Proceedings of the 2021 IEEE 39th International Conference on Computer Design (ICCD), Storrs, CT, USA, 24–27 October 2021; pp. 409–416. [Google Scholar] [CrossRef]
  76. Eggimann, M.; Mach, S.; Magno, M.; Benini, L. A RISC-V Based Open Hardware Platform for Always-On Wearable Smart Sensing. In Proceedings of the 2019 IEEE 8th International Workshop on Advances in Sensors and Interfaces (IWASI), Otranto, Italy, 13–14 June 2019; pp. 169–174. [Google Scholar] [CrossRef]
  77. Girolamo, S.D.; Kurth, A.; Calotoiu, A.; Benz, T.; Schneider, T.; Beranek, J.; Benini, L.; Hoefler, T. A RISC-V in-network accelerator for flexible high-performance low-power packet processing. In Proceedings of the 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 14–18 June 2021; pp. 958–971. [Google Scholar] [CrossRef]
  78. He, C.; Cao, M.; Liu, J.; Ge, Z.; Zhou, R.; Xu, H. Nanotechnology in the Olympic Winter Games and beyond. ACS Nano 2022, 16, 4981–4988. [Google Scholar] [CrossRef]
  79. Schulz, S.; Cruanes, S.; Vukmirović, P. Faster, Higher, Stronger: E 2.3. In Proceedings of the Automated Deduction—CADE 27, Natal, Brazil, 27–30 August 2019; Fontaine, P., Ed.; Springer International Publishing: Cham, Switzerland, 2019; pp. 495–507. [Google Scholar]
  80. Dong, X.; Gao, Y.; Guo, J.; Zuo, S.; Xiang, J.; Li, D.; Tu, Z. An Integrated UWB-IMU-Vision Framework for Autonomous Approaching and Landing of UAVs. Aerospace 2022, 9, 797. [Google Scholar] [CrossRef]
  81. Rodriguez-Ramos, A.; Sampedro, C.; Bavle, H.; De La Puente, P.; Campoy, P. A deep reinforcement learning strategy for UAV autonomous landing on a moving platform. J. Intell. Robot. Syst. 2019, 93, 351–366. [Google Scholar] [CrossRef]
  82. Li, M.; Hu, T. Deep learning enabled localization for UAV autolanding. Chin. J. Aeronaut. 2021, 34, 585–600. [Google Scholar] [CrossRef]
  83. Lee, M.; Shin, S.G.; Jang, S.; Cho, W.; Kim, S.; Han, S.; Choi, C.; Kim, J.; Kim, Y.; Kim, S.H. Visual-based Landing Guidance System of UAV with Deep Learning Technique for Environments of Visual-detection Impairment. Int. J. Control. Autom. Syst. 2022, 20, 1735–1744. [Google Scholar] [CrossRef]
  84. Abaspur Kazerouni, I.; Fitzgerald, L.; Dooly, G.; Toal, D. A survey of state-of-the-art on visual SLAM. Expert Syst. Appl. 2022, 205, 117734. [Google Scholar] [CrossRef]
  85. Xin, L.; Tang, Z.; Gai, W.; Liu, H. Vision-Based Autonomous Landing for the UAV: A Review. Aerospace 2022, 9, 634. [Google Scholar] [CrossRef]
  86. Chaurasia, R.; Mohindru, V. Unmanned aerial vehicle (UAV): A comprehensive survey. In Unmanned Aerial Vehicles for Internet of Things (IoT) Concepts, Techniques, and Applications; Wiley: Hoboken, NJ, USA, 2021; pp. 1–27. [Google Scholar] [CrossRef]
  87. Li, J.; Gao, W.; Wu, Y.; Liu, Y.; Shen, Y. High-quality indoor scene 3D reconstruction with RGB-D cameras: A brief review. Comput. Vis. Media 2022, 8, 369–393. [Google Scholar] [CrossRef]
  88. Tang, H.; Zhang, D.; Gan, Z. Control System for Vertical Take-Off and Landing Vehicle’s Adaptive Landing Based on Multi-Sensor Data Fusion. Sensors 2020, 20, 4411. [Google Scholar] [CrossRef] [PubMed]
  89. Paredes, J.A.; Álvarez, F.J.; Aguilera, T.; Villadangos, J.M. 3D indoor positioning of UAVs with spread spectrum ultrasound and time-of-flight cameras. Sensors 2017, 18, 89. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  90. Paredes, J.A.; Álvarez, F.J.; Aguilera, T.; Aranda, F.J. Precise drone location and tracking by adaptive matched filtering from a top-view ToF camera. Expert Syst. Appl. 2020, 141, 112989. [Google Scholar] [CrossRef]
  91. Demirhan, M.; Premachandra, C. Development of an Automated Camera-Based Drone Landing System. IEEE Access 2020, 8, 202111–202121. [Google Scholar] [CrossRef]
  92. Massoud, A.; Fahmy, A.; Iqbal, U.; Givigi, S.; Noureldin, A. Real-Time Safe Landing Zone Identification Based on Airborne LiDAR. Sensors 2023, 23, 3491. [Google Scholar] [CrossRef]
  93. Zeng, T.; Wang, H.; Sun, X.; Li, H.; Lu, Z.; Tong, F.; Cheng, H.; Zheng, C.; Zhang, M. Dual-channel LIDAR searching, positioning, tracking and landing system for rotorcraft from ships at sea. J. Navig. 2022, 75, 901–927. [Google Scholar] [CrossRef]
  94. Yan, L.; Qi, J.; Wang, M.; Wu, C.; Xin, J. A Safe Landing Site Selection Method of UAVs Based on LiDAR Point Clouds. In Proceedings of the 2020 39th Chinese Control Conference (CCC), Shenyang, China, 27–29 July 2020; pp. 6497–6502. [Google Scholar] [CrossRef]
  95. Ariante, G.; Ponte, S.; Papa, U.; Del Core, G. Safe Landing Area Determination (SLAD) for Unmanned Aircraft Systems by using rotary LiDAR. In Proceedings of the 2021 IEEE 8th International Workshop on Metrology for AeroSpace (MetroAeroSpace), Virtual Conference, 2–25 June 2021; pp. 110–115. [Google Scholar] [CrossRef]
  96. Navardi, M.; Humes, E.; Mohsenin, T. E2EdgeAI: Energy-Efficient Edge Computing for Deployment of Vision-Based DNNs on Autonomous Tiny Drones. In Proceedings of the 2022 IEEE/ACM 7th Symposium on Edge Computing (SEC), Seattle, WA, USA, 5–8 December 2022; pp. 504–509. [Google Scholar] [CrossRef]
  97. Liu, K.; Zhou, X.; Zhao, B.; Ou, H.; Chen, B.M. An Integrated Visual System for Unmanned Aerial Vehicles Following Ground Vehicles: Simulations and Experiments. In Proceedings of the 2022 IEEE 17th International Conference on Control & Automation (ICCA), Naples, Italy, 27–30 July 2022; pp. 593–598. [Google Scholar] [CrossRef]
  98. Palossi, D.; Loquercio, A.; Conti, F.; Flamand, E.; Scaramuzza, D.; Benini, L. A 64-mW DNN-Based Visual Navigation Engine for Autonomous Nano-Drones. IEEE Internet Things J. 2019, 6, 8357–8371. [Google Scholar] [CrossRef] [Green Version]
  99. Albanese, A.; Nardello, M.; Brunelli, D. Low-power deep learning edge computing platform for resource constrained lightweight compact UAVs. Sustain. Comput. Inform. Syst. 2022, 34, 100725. [Google Scholar] [CrossRef]
  100. Delgado, R.; You, B.J.; Choi, B.W. Real-time control architecture based on Xenomai using ROS packages for a service robot. J. Syst. Softw. 2019, 151, 8–19. [Google Scholar] [CrossRef]
  101. Chen, W.; Jing, Z. Improved real-time visual servo system by combining Xenomai with Linux system. In Proceedings of the 2022 International Conference on Advanced Mechatronic Systems (ICAMechS), Toyama, Japan, 17–20 December 2022; pp. 199–202. [Google Scholar] [CrossRef]
  102. Zhang, Y.; Tao, L. Multi-channel Data acquisition system based on FPGA and STM32. Xibei Gongye Daxue Xuebao J. Northwestern Polytech. Univ. 2020, 38, 351–358. [Google Scholar] [CrossRef]
  103. Odavic, M.; Sumner, M.; Wheeler, P.; Li, J. Real-time fault diagnostics for a permanent magnet synchronous motor drive for aerospace applications. In Proceedings of the 2010 IEEE Energy Conversion Congress and Exposition, San Jose, CA, USA, 20–24 September 2010; pp. 3044–3049. [Google Scholar] [CrossRef]
  104. Constantinides, G.A.; Chen, D.; Zhang, C.; Li, P.; Sun, G.; Guan, Y.; Xiao, B.; Cong, J. Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Networks. In Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, 22–24 February 2015; pp. 161–170. [Google Scholar] [CrossRef]
  105. Kong, Y. AIRV: Enabling Deep Learning Inference on RISC-V. In Proceedings of the Benchmarking, Measuring, and Optimizing: Second BenchCouncil International Symposium, Bench 2019, Denver, CO, USA, 14–16 November 2019; Revised Selected Papers 2. Springer: Berlin, Germany, 2020; pp. 91–98. [Google Scholar]
  106. He, K.; Zhang, X.; Ren, S.; Sun, J. Deep residual learning for image recognition. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, Las Vegas, NV, USA, 27–30 July 2016; pp. 770–778. [Google Scholar] [CrossRef] [Green Version]
  107. Smith, R.J.; Amaral, R.; Heywood, M.I. Evolving Simple Solutions to the CIFAR-10 Benchmark using Tangled Program Graphs. In Proceedings of the 2021 IEEE Congress on Evolutionary Computation (CEC), Kraków, Poland, 28 June–1 July 2021; pp. 2061–2068. [Google Scholar] [CrossRef]
  108. Assir, I.A.; Iskandarani, M.E.; Sandid, H.R.A.; Saghir, M.A. Arrow: A RISC-V vector accelerator for machine learning inference. arXiv 2021. [Google Scholar] [CrossRef]
  109. Kovačević, N.; Mišeljić, Đ.; Stojković, A. RISC-V vector processor for acceleration of machine learning algorithms. In Proceedings of the 2022 30th Telecommunications Forum (TELFOR), Belgrade, Serbia, 21–22 November 2022; pp. 1–4. [Google Scholar] [CrossRef]
  110. Richter, M.; Luedecke, A.; Lee, Y.C.; Stanitzki, A.; Utz, A.; Grau, G.; Kappert, H.; Kokozinski, R. A RISC-V-based System on Chip for High-Speed Control in Safety-Critical 650 V GaN-Applications. In Proceedings of the SMACD/PRIME 2021: International Conference on SMACD and 16th Conference on PRIME VDE, online, 19–22 July 2021; pp. 1–4. [Google Scholar]
  111. Zimmer, B.; Lee, Y.; Puggelli, A.; Kwak, J.; Jevti, R.; Keller, B.; Bailey, S.; Blagojevi, M.; Chiu, P.F.; Le, H.P.; et al. A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI. IEEE J. Solid-State Circuits 2016, 51, 930–942. [Google Scholar] [CrossRef] [Green Version]
  112. Al-Safi, A.; Al-Khayyat, A.; Manati, A.M.; Alhafadhi, L. Advances in FPGA Based PWM Generation for Power Electronics Applications: Literature Review. In Proceedings of the 2020 11th IEEE Annual Information Technology, Electronics and Mobile Communication Conference (IEMCON), Vancouver, BC, Canada, 4–7 November 2020; pp. 252–259. [Google Scholar] [CrossRef]
  113. Retschi, A.; Syrpas, P.; Flak, B.; Tomzik, K.; Steimer, P.K. Heterogeneous Control Platform Design for Power Conversion Systems. IEEE Trans. Ind. Inform. 2020, 18, 2934–2942. [Google Scholar] [CrossRef]
  114. Kumar, R.R.; Andriollo, M.; Cirrincione, G.; Cirrincione, M.; Tortella, A. A Comprehensive Review of Conventional and Intelligence-Based Approaches for the Fault Diagnosis and Condition Monitoring of Induction Motors. Energies 2022, 15, 8938. [Google Scholar] [CrossRef]
  115. Deeb, M.; Kotelenets, N.F. Fault Diagnosis of 3-phase Induction Machine Using Harmonic Content of Stator Current Spectrum. In Proceedings of the 2020 International Youth Conference on Radio Electronics, Electrical and Power Engineering (REEPE), Moscow, Russia, 12–14 March 2020; pp. 1–6. [Google Scholar] [CrossRef]
  116. Swakath, S.U.; Kshirsagar, A.; Kondepu, K.; Banavath, S.N.; Chub, A.; Vinnikov, D. Development of a Power Electronics Controller with RISC-V based Core for Security-Critical Applications. In Proceedings of the 2022 IEEE 63th International Scientific Conference on Power and Electrical Engineering of Riga Technical University (RTUCON), Riga, Latvia, 10–12 October 2022; pp. 1–5. [Google Scholar] [CrossRef]
  117. Schiavone, P.D.; Rossi, D.; Mauro, A.D.; Gürkaynak, F.K.; Saxe, T.; Wang, M.; Yap, K.C.; Benini, L. Arnold: An eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End Nodes. IEEE Trans. Very Large Scale Integr. Syst. 2021, 29, 677–690. [Google Scholar] [CrossRef]
  118. Keller, B.; Cochet, M.; Zimmer, B.; Kwak, J.; Puggelli, A.; Lee, Y.; Blagojevic, M.; Bailey, S.; Chiu, P.F.; Dabbelt, P.; et al. A RISC-V Processor SoC with Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI. IEEE J. -Solid-State Circuits 2017, 52, 1863–1875. [Google Scholar] [CrossRef]
  119. Kühne, J.; Magno, M.; Benini, L. Parallelizing Optical Flow Estimation on an Ultra-Low Power RISC-V Cluster for Nano-UAV Navigation. In Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 27 May–1 June 2022; pp. 301–305. [Google Scholar]
  120. De Prado, M.; Rusci, M.; Capotondi, A.; Donze, R.; Benini, L.; Pazos, N. Robustifying the Deployment of tinyML Models for Autonomous Mini-Vehicles. Sensors 2021, 21, 1339. [Google Scholar] [CrossRef]
  121. Meng, F.; Wang, C.; Liu, Z.; Ma, K.; Disney, D.; Yeo, K.S. Heterogeneous Integration: A Promising Technology to Future Integrated Power Conversion Electronics. IEEE Power Electron. Mag. 2021, 8, 37–47. [Google Scholar] [CrossRef]
  122. Krishnan, S.; Tambe, T.; Wan, Z.; Reddi, V.J. AutoSoC: Automating Algorithm-SOC Co-design for Aerial Robots. arXiv 2021. [Google Scholar] [CrossRef]
  123. Boroujerdian, B.; Genc, H.; Krishnan, S.; Cui, W.; Faust, A.; Reddi, V. Mavbench: Micro aerial vehicle benchmarking. In Proceedings of the 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Fukuoka, Japan, 20–24 October 2018; pp. 894–907. [Google Scholar] [CrossRef] [Green Version]
  124. Mayoral-Vilches, V.; Corradi, G. Adaptive Computing in Robotics, Leveraging ROS 2 to Enable Software-Defined Hardware for FPGAs. arXiv 2021. [Google Scholar] [CrossRef]
  125. Lee, S.Y.; Hung, Y.W.; Chang, Y.T.; Lin, C.C.; Shieh, G.S. RISC-V CNN Coprocessor for Real-Time Epilepsy Detection in Wearable Application. IEEE Trans. Biomed. Circuits Syst. 2021, 15, 679–691. [Google Scholar] [CrossRef] [PubMed]
  126. Lee, J.; Chen, H.; Young, J.; Kim, H. RISC-V FPGA Platform toward ROS-based Robotics Application. In Proceedings of the 2020 30th International Conference on Field-Programmable Logic and Applications (FPL), Gothenburg, Sweden, 31 August–4 September 2020; p. 370. [Google Scholar] [CrossRef]
  127. Zhang, X.; Ma, Y.; Xiong, J.; Hwu, W.M.W.; Kindratenko, V.; Chen, D. Exploring HW/SW Co-Design for Video Analysis on CPU-FPGA Heterogeneous Systems. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2022, 41, 1606–1619. [Google Scholar] [CrossRef]
  128. Wan, Z.; Lele, A.S.; Raychowdhury, A. Circuit and System Technologies for Energy-Efficient Edge Robotics. arXiv 2022. [Google Scholar] [CrossRef]
  129. Wang, Z.; Che, B.; Guo, L.; Du, Y.; Chen, Y.; Zhao, J.; He, W. PipeFL: Hardware/Software co-Design of an FPGA Accelerator for Federated Learning. IEEE Access 2022, 10, 98649–98661. [Google Scholar] [CrossRef]
  130. Kwon, J.; Park, D. Hardware/Software Co-Design for TinyML Voice-Recognition Application on Resource Frugal Edge Devices. Appl. Sci. 2021, 11, 11073. [Google Scholar] [CrossRef]
  131. Zhou, C.; Redondo, F.G.; Buchel, J.; Boybat, I.; Comas, X.T.; Nandakumar, S.R.; Das, S.; Sebastian, A.; Gallo, M.L.; Whatmough, P.N. ML-HW Co-Design of Noise-Robust TinyML Models and Always-On Analog Compute-in-Memory Edge Accelerator. IEEE Micro 2022, 42, 76–87. [Google Scholar] [CrossRef]
  132. Yan, H.; Xu, Y.; Cai, F.; Zhang, H.; Zhao, W.; Gerada, C. PWM-VSI fault diagnosis for a PMSM drive based on the fuzzy logic approach. IEEE Trans. Power Electron. 2018, 34, 759–768. [Google Scholar] [CrossRef]
  133. Xu, D.; Wang, B.; Zhang, G.; Wang, G.; Yu, Y. A review of sensorless control methods for AC motor drives. CES Trans. Electr. Mach. Syst. 2018, 2, 104–115. [Google Scholar] [CrossRef]
  134. Zine, W.; Makni, Z.; Monmasson, E.; Idkhajine, L.; Condamin, B. Interests and limits of machine learning-based neural networks for rotor position estimation in EV traction drives. IEEE Trans. Ind. Inform. 2017, 14, 1942–1951. [Google Scholar] [CrossRef]
  135. Fuller, A.; Fan, Z.; Day, C.; Barlow, C. Digital Twin: Enabling Technologies, Challenges and Open Research. IEEE Access 2020, 8, 108952–108971. [Google Scholar] [CrossRef]
  136. Madni, A.M.; Madni, C.C.; Lucero, S.D. Leveraging digital twin technology in model-based systems engineering. Systems 2019, 7, 7. [Google Scholar] [CrossRef] [Green Version]
  137. Kapteyn, M.G.; Pretorius, J.V.; Willcox, K.E. A probabilistic graphical model foundation for enabling predictive digital twins at scale. Nat. Comput. Sci. 2021, 1, 337–347. [Google Scholar] [CrossRef]
  138. Kapteyn, M.G.; Willcox, K.E. From physics-based models to predictive digital twins via interpretable machine learning. arXiv 2020. [Google Scholar] [CrossRef]
  139. Wang, M.Y.; Wang, D.F. A Desktop Electric Machine Emulator Implementation Method Based on Phase Voltage Reconstruction. IEEE Access 2020, 8, 97698–97706. [Google Scholar] [CrossRef]
  140. Xiong, J.; Ye, H.; Pei, W.; Li, K.; Han, Y. Real-time FPGA-digital twin monitoring and diagnostics for PET applications. In Proceedings of the 2021 6th Asia Conference on Power and Electrical Engineering (ACPEE), Chongqing, China, 4–11 April 2021; pp. 531–536. [Google Scholar] [CrossRef]
  141. Mulinka, P.; Sahoo, S.; Kalalas, C.; Nardelli, P.H.J. Optimizing a Digital Twin for Fault Diagnosis in Grid Connected Inverters—A Bayesian Approach. In Proceedings of the 2022 IEEE Energy Conversion Congress and Exposition (ECCE), Detroit, MI, USA, 9–13 October2022; pp. 1–6. [Google Scholar] [CrossRef]
  142. Milton, M.; Castulo, D.L.O.; Ginn, H.L.; Benigni, A. Controller-Embeddable Probabilistic Real-Time Digital Twins for Power Electronic Converter Diagnostics. IEEE Trans. Power Electron. 2020, 35, 9850–9864. [Google Scholar] [CrossRef]
  143. Milton, M.; Benigni, A. ORTiS solver codegen: C++ code generation tools for high performance, FPGA-based, real-time simulation of power electronic systems. SoftwareX 2021, 13, 100660. [Google Scholar] [CrossRef]
  144. Gu, C.; Yan, H.; Yang, J.; Sala, G.; De Gaetano, D.; Wang, X.; Galassini, A.; Degano, M.; Zhang, X.; Buticchi, G. A Multiport Power Conversion System for the More Electric Aircraft. IEEE Trans. Transp. Electrif. 2020, 6, 1707–1720. [Google Scholar] [CrossRef]
  145. Wang, G.; Qiu, G.; Zhao, W.; Chen, X.; Li, J. A real-time visual compass from two planes for indoor unmanned aerial vehicles (UAVs). Expert Syst. Appl. 2023, 120390. [Google Scholar] [CrossRef]
  146. Wang, H.; Gu, C.; Wang, S.; Zhao, W.; Bai, S.; Buticchi, G.; Gerada, C.; Zhang, H. Single-Phase Short-Circuit Fault Tolerant Control for Five-Phase Permanent Magnet Machines With Copper Loss Reduction. IEEE Trans. Ind. Electron. 2023, 70, 11087–11097. [Google Scholar] [CrossRef]
  147. Dash, B.; Ansari, M.F.; Swayamsiddha, S. Fusion of Artificial Intelligence and 5G in Defining Future UAV Technologies—A Review. In Proceedings of the 2023 International Conference on Device Intelligence, Computing and Communication Technologies, (DICCT), Dehradun, India, 17–18 May 2023; pp. 312–316. [Google Scholar] [CrossRef]
  148. Ducard, G.J.; Allenspach, M. Review of designs and flight control techniques of hybrid and convertible VTOL UAVs. Aerosp. Sci. Technol. 2021, 118, 107035. [Google Scholar] [CrossRef]
  149. Lim, W.Y.B.; Garg, S.; Xiong, Z.; Zhang, Y.; Niyato, D.; Leung, C.; Miao, C. UAV-Assisted Communication Efficient Federated Learning in the Era of the Artificial Intelligence of Things. IEEE Netw. 2021, 35, 188–195. [Google Scholar] [CrossRef]
  150. Zhang, J.; Wang, Y.; Zhu, K.; Zhang, Y.; Li, Y. Diagnosis of Interturn Short-Circuit Faults in Permanent Magnet Synchronous Motors Based on Few-Shot Learning Under a Federated Learning Framework. IEEE Trans. Ind. Inform. 2021, 17, 8495–8504. [Google Scholar] [CrossRef]
  151. Mowla, N.I.; Tran, N.H.; Doh, I.; Chae, K. Federated learning-based cognitive detection of jamming attack in flying ad hoc network. IEEE Access 2019, 8, 4338–4350. [Google Scholar] [CrossRef]
  152. Wan, Z.; Anwar, A.; Mahmoud, A.; Jia, T.; Hsiao, Y.S.; Reddi, V.J.; Raychowdhury, A. FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems. In Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 14–23 March 2022; pp. 430–435. [Google Scholar] [CrossRef]
  153. Tang, S.; Zhou, W.; Chen, L.; Lai, L.; Xia, J.; Fan, L. Battery-constrained federated edge learning in UAV-enabled IoT for B5G/6G networks. Phys. Commun. 2021, 47, 101381. [Google Scholar] [CrossRef]
  154. Zhao, H.; Zhang, X.; Li, J.; Wang, H.; Zhang, F.; Zhang, H.; Zhu, X.; Gerada, D. Heat Pipe Bending Effect on Cooling Effectiveness in Electrical Machines. IEEE Trans. Energy Convers. 2023, 1–11. [Google Scholar] [CrossRef]
  155. Galkin, B.; Kibilda, J.; DaSilva, L.A. UAVs as Mobile Infrastructure: Addressing Battery Lifetime. IEEE Commun. Mag. 2019, 57, 132–137. [Google Scholar] [CrossRef] [Green Version]
  156. Ahmed, S.; Mohamed, A.; Harras, K.; Kholief, M.; Mesbah, S. Energy efficient path planning techniques for UAV-based systems with space discretization. In Proceedings of the 2016 IEEE Wireless Communications and Networking Conference, Doha, Qatar, 3–6 April 2016; pp. 1–6. [Google Scholar] [CrossRef]
  157. Li, Y.; Liu, M. Path Planning of Electric VTOL UAV Considering Minimum Energy Consumption in Urban Areas. Sustainability 2022, 14, 3421. [Google Scholar] [CrossRef]
  158. Warden, P.; Situnayake, D. Tinyml: Machine Learning with Tensorflow Lite on Arduino and Ultra-Low-Power Microcontrollers; O’Reilly Media: Sebastopol, CA, USA, 2019. [Google Scholar]
  159. Jin, T.; Bercea, G.T.; Le, T.D.; Chen, T.; Su, G.; Imai, H.; Negishi, Y.; Leu, A.; O’Brien, K.; Kawachiya, K.; et al. Compiling onnx neural network models using mlir. arXiv 2020, arXiv:2008.08272. [Google Scholar]
  160. Peng, Y.; Wang, Y. An industrial-grade solution for agricultural image classification tasks. Comput. Electron. Agric. 2021, 187, 106253. [Google Scholar] [CrossRef]
  161. Hashesh, A.O.; Hashima, S.; Zaki, R.M.; Fouda, M.M.; Hatano, K.; Eldien, A.S.T. AI-Enabled UAV Communications: Challenges and Future Directions. IEEE Access 2022, 10, 92048–92066. [Google Scholar] [CrossRef]
Figure 1. Comparison between FCS and FMS [10].
Figure 1. Comparison between FCS and FMS [10].
Drones 07 00380 g001
Figure 2. Programmability vs. Energy Efficiency [13].
Figure 2. Programmability vs. Energy Efficiency [13].
Drones 07 00380 g002
Figure 3. Structure of the survey.
Figure 3. Structure of the survey.
Drones 07 00380 g003
Figure 4. Abstraction layer of robotic computing systems (inspired by [4]).
Figure 4. Abstraction layer of robotic computing systems (inspired by [4]).
Drones 07 00380 g004
Figure 5. Figurative layer of MIMO system (inspired by [24]).
Figure 5. Figurative layer of MIMO system (inspired by [24]).
Drones 07 00380 g005
Figure 6. Cross layers as a loop-closure for heterogenous FMS design.
Figure 6. Cross layers as a loop-closure for heterogenous FMS design.
Drones 07 00380 g006
Figure 7. Advantages and disadvantages of DA [32].
Figure 7. Advantages and disadvantages of DA [32].
Drones 07 00380 g007
Figure 8. Similarity of the development cycle between RISC-V Vector operation and AI operation.
Figure 8. Similarity of the development cycle between RISC-V Vector operation and AI operation.
Drones 07 00380 g008
Figure 9. SoC layout with RSIC-V architecture [110].
Figure 9. SoC layout with RSIC-V architecture [110].
Drones 07 00380 g009
Figure 10. Structure of the IPMSM sensorless control based on AI [134].
Figure 10. Structure of the IPMSM sensorless control based on AI [134].
Drones 07 00380 g010
Table 1. Recent advanced integration possibilities with TinyML.
Table 1. Recent advanced integration possibilities with TinyML.
ReferencesChallengesMethodsImplications
 [39]TinyMLaaSML-as-a-ServiceEnergy saving
 [40]TinyOLOnline-LearningOn-device training
 [41]Tiny-MLOpsML OperationsExtreme environments suitability
 [42]MCURISC-VComputational cost optimization
 [43]TinyFedTLFL and TLOpen-source
Table 2. ToF and Lidar utilization.
Table 2. ToF and Lidar utilization.
SensorReferencesMethodApplication
ToF [88]Data fusion based on a ToF, IMU,
and an optical flow sensor
Adaptive landing
 [89]Hybrid combination of spread
spectrum ultrasound and ToF
Indoor landing experiment
 [90]A top-view ToF with
adaptive matched filtering
GPS-denied environment
 [91]Black-box and PID
controller integration
Distinctive landing
symbol detection
Lidar [92]Safe Landing Zone
(SLZ) identification
Landing zone
for helicopters
 [93]Dual-channel with multi-pulse
laser echo accumulation and
the physical phenomenon
with laser reflectivity
Landing system for
ships at sea
[94]Point cloud progressing with
Principal Component Analysis (PCA)
and PROgressive SAmple Consensus
(PROSAC) algorithms
Safe landing
site selection
 [95]On-board terrain hazard
detection and avoidance (DAA)
Safety area identification
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Wang, G.; Gu, C.; Li, J.; Wang, J.; Chen, X.; Zhang, H. Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities. Drones 2023, 7, 380. https://doi.org/10.3390/drones7060380

AMA Style

Wang G, Gu C, Li J, Wang J, Chen X, Zhang H. Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities. Drones. 2023; 7(6):380. https://doi.org/10.3390/drones7060380

Chicago/Turabian Style

Wang, Gelin, Chunyang Gu, Jing Li, Jiqiang Wang, Xinmin Chen, and He Zhang. 2023. "Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities" Drones 7, no. 6: 380. https://doi.org/10.3390/drones7060380

Article Metrics

Back to TopTop