Next Article in Journal
Adhesive Cementation of Zirconia Based Ceramics-Surface Modification Methods Literature Review
Previous Article in Journal
Topography Control of Micro-Nanosized Anatase Coating on Magnesium Alloy
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Spectroscopic Analysis of CF4/O2 Plasma Mixed with N2 for Si3N4 Dry Etching

Department of Electronics Engineering, Myongji University, Myongji-ro 116, Yongin-si 17058, Korea
*
Author to whom correspondence should be addressed.
Coatings 2022, 12(8), 1064; https://doi.org/10.3390/coatings12081064
Submission received: 9 June 2022 / Revised: 21 July 2022 / Accepted: 26 July 2022 / Published: 27 July 2022
(This article belongs to the Section Plasma Coatings, Surfaces & Interfaces)

Abstract

:
Silicon nitride (Si3N4) etching using CF4/O2 mixed with N2 has become very popular in 3D NAND flash structures. However, studies on Si3N4 dry etching based on optical emission spectroscopy (OES) are lacking; in particular, no study has reported the use of OES for analyzing N2-mixed CF4/O2 plasma. Thus, this study demonstrates an OES-based approach for analyzing a mixed-gas plasma for etching Si3N4 thin films. The state of each single gas plasma of CF4, O2, and N2 as well as that of mixed plasmas of heterogeneous gases CF4/O2, CF4/N2, and O2/N2 was investigated to analyze the mixed-gas plasma. Furthermore, the amount of N2 in the CF4/O2 plasma varied from 0 to 8 sccm. The relationship between the OES analysis results and the Si3N4 etch rate was subsequently established using Si3N4 film etching, and the explanation was verified through a chemical reaction modeling and surface reaction. Therefore, our study confirmed the alteration in chemical species and quantity that occurred when N2 was added to CF4/O2 plasma and the effect of the alteration on Si3N4 etch.

1. Introduction

Si3N4 has been extensively used as a chemical diffusion barrier against water molecules and Na. Furthermore, it acts as a good insulator with high thermal stability and has been used in the fabrication of microelectronic and semiconductor devices. Si3N4 thin films are used in various semiconductor processes as an etch stop layer and as a hard mask for etching as well as for passivation and other functions. Si3N4 deposition technologies and applications in semiconductor fabrication have already been comprehensively described [1]. Despite its various applications, the precise control of Si3N4-related processes is irrelevant compared with other dielectrics such as SiO2, low-k, and high-k materials. The processes related to dielectric films have been investigated in terms of film properties, process uniformity, and plasma [2,3,4,5,6]. Because Si3N4 has been used as a charge trap layer in case of NAND memories, the application of Si3N4 films in 3D NAND flash memory fabrication has increased [7,8]. The anticipated etch challenges for the 3D NAND flash technology were considered with the increasing number of 3D NAND oxide/nitride (ON) gate stacks [9].
Si3N4 etching was first investigated using CF4 and O2 gas combinations to produce patterns in microcircuits [10,11]. The high etch rate in the hard mask strip process was studied in the 2000s using SF6/O2/N2 gas chemistry [12]; however, NF3 replaced SF6 in the early 2010s because SF6 was listed as having a high global warming potential [13,14]. With the development of 3D NAND flash memory, various gas mixtures, such as NF3/O2/N2/He, CHF3/O2, CF4/H2, and CF4/D2, have been used to satisfy the required etch profiles [15,16,17,18,19]. Si3N4 has traditionally been used as a selective etch mask over silicon dioxide because of its hardness and chemical resistance to fluorinated gas species. However, the dry etching of ON gate stacks in 3D NAND requires that the high aspect ratio ON dielectric gate stacks be simultaneously completed in the same process chamber; the recipe control for this process thus becomes more challenging compared with any other conventional dry etching process. The in situ monitoring of ON gate stack deposition was proposed as a basis to ease the concerns of etching the multiple pairs of the two dielectric materials in the same plasma etch chamber [20]. The use of plasma process monitoring is recommended to better understand the changes occurring inside the plasma etching chamber and realize successful dielectric etching such as etch depth, profile, etc. with the plasma information which can be deduced/calculated through the plasma monitoring in 3D NAND. A frequently used plasma monitoring method is optical emission spectroscopy (OES). Research on plasma during the SiO2 etching process has been actively conducted, but research on plasma during Si3N4 gate stack etching is limited because of the complications of nitrogen-related radical species in the plasma. The results of silicon dioxide OES data analysis and etch rate virtual metrology have been analyzed, and they are satisfactory in the field of plasma etching [2,21]. Recently, an OES study predicted the properties of a Si3N4 layer for solar cell applications [22]; however, this study is limited specifically to the surface chemistry and deposition rate. Electron temperature and density have been theoretically estimated using multiple population/depopulation models [23,24,25]. Although electron temperature and density are important criteria in understanding plasma physics, spontaneously determining the plasma state in mixed-gas plasma is difficult.
Artificial intelligence or machine learning modeling is suggested to examine the plasma properties in the gas plasma mixture; however, they still lack the physical interpretation to understand the behavior of gaseous species in the plasma. Chien et al. demonstrated virtual metrology modeling with statistics-based and dynamics-inspired OES data [26]; however, it is important to understand the plasma chemistry and qualitative/quantitative number of reactive species to further comprehend the underlying phenomena in the plasma during Si3N4 etching. Kim et al. demonstrated an example of how to use OES for spectroscopic analysis [27]. They discovered the cause of the difference in etch rates between NF3/Ar and F3NO/Ar plasma using OES data. However, a spectroscopic analysis study on CF4/O2 plasma mixed with N2 for Si3N4 dry etching is still insufficient.
Real-time and non-invasive process diagnosis technology is currently the research focus to improve yield. Therefore, processing the diagnosis of Si3N4 dry etch for ON stack that has not been previously studied using OES is important; this is easy to use and install. Additionally, comparing the number of reactive species in the plasma mixed with three gas species is necessary, which rarely existed before, to correlate the reason and relationship with the process result. Consequently, this study proposes a method for applying OES in the mixed-gas plasma, particularly CF4/O2 mixed with N2 under Si3N4 dry etching conditions, to support the plasma monitoring capabilities of OES regarding plasma process diagnostics. OES data were obtained for each plasma of CF4, O2, and N2 to define generated radicals and ions in each wavelength. Similarly, OES data from CF4/O2, CF4/N2, and O2/N2 plasma were obtained. Finally, we investigated the effects of adding N2 to CF4/O2 plasma. Therefore, the best peak in CF4/O2 mixed with N2 plasma was discovered. Thus, when the N2 flow rate was increased, the amount of F radical decreased and nitrogen reacted with radicals such as CN and NO. Furthermore, by etching under the same experimental conditions as CF4/O2/N2 plasma, it was verified that F radicals have a similar relationship with the Si3N4 etch rate. The chemical simulation and surface reaction explained the reason for the F radicals trend and etch rate.

2. Experiment

Plasma was generated using an inductively coupled plasma (ICP)-type dry etcher, developed by Plasmart Inc. (Daejeon, Korea). Figure 1 shows that the equipment comprises a 13.56 MHz ICP source and a 12.56 MHz bias power, which was not used in this experiment, with separated RF matching units. The chamber has a diameter of ~315 mm and a 6-inch metal chuck in the center. The vacuum system comprises an oil rotary pump, the base pressure of which can reach 10 mTorr, and a turbo molecular pump that holds the pressure below a few tens of mTorr during the gas injected vacuum condition. Process gases through the mass flow controllers are combined before injecting them in the showerhead located at the top of the chamber, and the process steps are controlled using programmable logic controllers. There are two sidewall viewports in the etch system. The front side viewport was used for operational visual inspection, whereas the side viewport was used to collect the OES data from the plasma glow discharge during the experiment. The spectrometer used is the SM245, by Korea Spectral Products (Seoul, Korea), which has a spectral range of 200–1050 nm and an optical resolution of ranges of <10 nm full width at half maximum. A Si3N4 thin film deposited via low-pressure chemical vapor deposition (LPCVD) on a 4-inch wafer was used in the etching process. In the experiment, the wafer was sliced and used as a coupon. A reflectometer (ST2000−DLS, KMAC Co., Daejeon, Korea) was used to measure the thickness of Si3N4 before and after etching.
Table 1 shows the process recipes. RF source power, pressure, and temperature were set to 300 W, 5 mTorr, and room temperature, respectively, in a cleanroom environment. Two key processes comprise the following procedure: a preliminary process to acquire and analyze the OES data and the main process for etching Si3N4. In the preliminary process, only the 6-inch dummy wafer was placed on the chuck, and the process was conducted. In contrast, the coupon wafer was located on the 6-inch dummy wafer in the main process, and the experiment was performed. The plasma emission spectra of each form of gas, CF4, O2, or N2, with flow rates of 40 sccm, were sequentially introduced to the chamber to generate the plasma as a basis for the OES investigation during the Si3N4 etch process. Then, a 20-sccm gas flow of the individual gas out of two mixed gases was investigated to understand the interaction of two gas species in terms of atomic/molecular spectroscopy. Finally, CF4/O2/N2 were injected 40/4/0 ~8 sccm. Additionally, 4 sccm Ar was injected for all cases to examine the radical ratios at a wavelength of 750.4 nm [28]. In the main process, Si3N4 films were etched under the same CF4/O2/N2 conditions.
The OES collects plasma glow discharge light and presents it as a spectrum. Atoms, molecules, and ions can be observed in the mixed-gas plasma shown in Figure 2; however, the identification of the correct gas-phase species is challenging because of the complex glow discharge light emission mechanism of ionization, excitation, vibration, and rotation or wavelength shifting of the spectrometer. The chemical species should be specified to determine the precise chemical species represented by the wavelength. Thus, OES data for a single gas plasma must be obtained first. Then, CF4/O2, CF4/N2, and O2/N2 plasma data should be obtained to confirm the wavelength of heterogeneous compounds, such as CO, CN, and NO. Our main objective is to discover three-no or small three-element compounds in CF4/O2/N2 plasma, but we must examine the wavelengths of the compounds and identify the chemical species represented by each wavelength. Table 2 summarizes the information about the wavelength used in the Results and Discussion section.
Chemkin-Pro was used to study the chemical species and reactions within the plasma to explain the findings of the main process. CF4, O2, and N2 were introduced into a modeled tubular reactor, which had a similar size to the chamber in use. The same RF power, pressure, and flow rates of CF4, O2, and N2 were employed as that in No. 7–11 in Table 1.

3. Results and Discussion

In the experiment preparation step, we performed a dry run as an equipment and system check for the experiment to avoid any unexpected experimental situations, including a dry etch chamber and OES data collection. We discovered an unexpectedly high number of photon counts associated with CN peaks below 400 nm in the spectrum while collecting OES data. The observation of CN peaks in CF4 plasma is unusual because no external N2 is supplied to the chamber. We hypothesized that the chamber’s air leak caused nitrogen to have CN-related peaks, and hence the chamber’s O-ring was replaced before initiating the actual experimental process. Figure 3 shows the OES signal before and after replacing the chamber’s O-ring. Figure 3a shows that the CN peak intensity was substantially higher than that of the CFx peak. Figure 3b shows that the CN peak was significantly reduced after the O-ring was replaced. Unfortunately, the OES data still indicated that there was some amount of nitrogen in the chamber, and the subsequent experimental results considered an acceptably small amount of air leak in the etch system.
Figure 4 shows CF4, O2, and N2 single-gas plasma. In the case of CF4, the CFx band was observed at wavelengths of 240–400 nm. The fluorine (F) band was detected at 704.1 nm instead of 703 nm, which is recommended in the NIST mass spectral library, possibly owing to a measurement error attributed to the spectrometer calibration. An oxygen atom band (O) at 778 and 845 nm, the N2 first positive system (FPS), N2+ first negative system (FNS), and N2 second positive system (SPS) were observed in the case of O2 and N2 single-gas plasmas.
Figure 5 shows the emission spectra of mixed-gas plasmas: CF4/O2, CF4/N2, and O2/N2. Although the CF4/O2 plasma contains multiple radicals such as C, C2, COF, and CFx, the CO band at ~250–600 nm, F bands at 704.1 and 713.1 nm and oxygen bands at 778 and 845.1 nm were the most prominent. The N2 FPS, N2 SPS, CN violet, and C2 swan bands were observed in the case of CF4/N2 plasma. The O2/N2 plasma shows species similar to those observed in the single-gas O2 and N2 plasmas, including NO bands in the range of 210–290 nm.
Finally, Figure 6 shows the NO, N2, and CO bands with numerous atom species in the case of CF4/O2/N2 plasma. The deviation in the measured wavelengths of approximately ±1 nm from the previously reported values is attributed to the inherent calibration error of the spectrometer.
The Ar actinometry method was used to analyze the chemical species. The relationship of the emission light intensity of species X at a typical wavelength, λ , is expressed as follows:
I X = C X λ N X n e ϵ X σ X ϵ f ϵ 2 ϵ m e d ϵ ,
where C X λ is a quantity that depends on the response of the spectrometer and light collection system at wavelength, λ , N X is the density of species X in the ground state, n e is the electron density, σ X ϵ is the electron excitation cross section, ϵ X is the threshold energy for the considered process, f ϵ is the electron energy distribution function, and m e is the mass of the electron. The choice of wavelength is crucial when applying the Ar actinometry method. Only electron impact excitation should influence the emission wavelength, and the cross section of excitation type A used as an actinometer and type X selected for analysis should follow a similar pattern [29]. Then, it can be represented as follows by dividing both intensities using Equation (1):
N X N A I X I A ,
where N A and I A are the density and measured intensity of the actinometer, respectively. In this research, Ar at 750.87 nm was used as an actinometer.
Although the wavelength selection for electron impact excitation is critical, there are a few exceptions, such as the oxygen atom’s wavelength of 844.6 nm. Because dissociative excitation plays a significant role in producing oxygen atoms in plasma, certain studies used the 844.6 nm wavelength rather than the renowned 777 nm wavelength [29,30]. Selecting the wavelengths for molecules with actinometry is difficult. Electron impact excitation is important in the actinometry process, as aforementioned; many researchers have attempted to correlate the actinometry of molecules with their density, in−cluding N2, CO, CF, and CN [31,32,33,34]. Those researchers demonstrated similar connections although their wavelength did not match the electron impact excitation mechanism. We selected the CO, CN, N2, NO, O, and F peaks stated in Table 3 based on extensive investigation and discovered peaks noted in the previous paragraph, where CF, CF2, and N wavelengths were not selected because they were difficult to identify [30,31,32,33,34,35,36,37]. Figure 6a shows the obtained OES spectrum with N2 gas flow rate variation, and Figure 6b shows the selected wavelengths from 8 sccm N2, which are N2 FPS, SPS, N2+ FNS, fluorine at 704.1 and 686.5 nm, oxygen at 778.01 and 845.08 nm, CN at 338.92 nm, CO at 438.16, 297.73, and 283.27 nm, N2 at 337.62, 381.1, and 394.79 nm, and NO at 247.42 and 259.07 nm. Table 3 lists the wavelengths that we could identify. Figure 7a–f shows the results of Ar actinometry with the wavelengths. Figure 7a,b shows that the number of fluorine atoms decreased, whereas the number of oxygen atoms remained almost constant. Kim et al. [38] discovered a comparable pattern in F concentration when N2 was delivered more than a few sccms. Figure 7c shows that the reason why the concentration of O was maintained almost constant is that O atoms participated to form NO radicals, and the actinometry is unreliable because of the dissociative excitation. Figure 7d shows that the intensity of N2 increased as its flow rate increased. CN in Figure 7e increased as the flow rate of N2 increased, as in the case of NO and N2. Finally, Figure 7f shows that the trend for CO was either decreasing or nearly constant. Although this contradicts Premachandran’s results, the N2 concentration reported in that study was 1%, and the concentration of N2 used in this study was affected by air leakage [39].
Si3N4 etching was performed under the same experimental conditions as N2 flow rate split cases discussed in Figure 7 to assess the effect of the change in the number of radicals as the flow rate of N2 increased. The Si3N4 etch rate was determined using a reflectometer to compare the thickness before and after etching. Figure 8 shows that the etch rate followed a similar pattern to the decreasing trend of F radicals owing to the measurement.
Furthermore, the cause for the F reduction and why the etch rate follows the same pattern as the F decrement was then explored. Notably, the number of F decreases in three primary situations: (1) reduction in the number of equations for the F generation, (2) increased F consumption, and (3) reduced F production. Chemkin was used to confirm the chemical reaction and determine which of the three factors had the most significant influence. When the number of equations to produce F was compared between the cases where only two species of CF4 and O2 were added and where three species added up to N2 existed concurrently, it was confirmed that when N2 was added, the number of equations for the formation of F increased, as shown in Table 4.
In Figure 9, there was a minor difference in F consumption, but it was not significant. Therefore, it was proven that the amount of F reduction itself had the most significant impact. Combining the earlier OES data with this simulation result showed that, immediately after N2 was introduced, CF4 did not decompose into F but changed into a different chemical, such as a compound bound to N.
Table 5 describes the cause for the reduction in etch rate confirmed by the data thus far and the surface reaction mechanism. Si3N4 etching can be categorized into two parts as follows: Si atom and N atom etchings. First, in the Si atom etch mechanism, the surface is fluorinated by the F radicals created from the breakdown of CF4, and then four F atoms react with Si to form SiF4. Second, N atoms or NO molecules produced by the breakdown or combination of N2 and N2 + O2 react with N atoms on the surface to evaporate as N2 or N2O in the N atom etch process. Therefore, it is possible to deduce that the reduction in the etch rate is due to the Si etch mechanism among Si3N4 becoming inactive due to the F decrease.

4. Conclusions

In this study, the OES analysis method of CF4/O2 mixed with N2 plasma and plasma reaction as a function of N2 flow rate change was investigated. The OES data of individual gases and the mixed plasma of the two gases are first investigated because of the limitation that the OES wavelength shift and the emission wavelengths of numerous atoms, ions, and molecules in the mixed-gas plasma appear at nearly identical places. Then, radical species were defined in CF4/O2 mixed with N2 plasma, which was our main goal. Additionally, the relative ratio of radicals was validated by actinometry using peaks previously identified as useful in prior investigations and previously selected peaks. F and CO decreased as the flow rate of N2 increased, indicating that more compounds were binding to N. The production rate of F reduced as the flow rate of N2 increased, which is the cause of the reduced quantity of F. Furthermore, the etch rate was reduced because F was required to etch Si in Si3N4. We could successfully demonstrate how to analyze OES when CF4/O2 is mixed with N2 and confirm the reaction based on the change in N2 flow rate using these results. The effects of N2 addition also revealed the Si3N4 etch and chemical simulation.

Author Contributions

Conceptualization, principal investigation, supervision, funding acquisition, writing—review and editing, S.J.H.; writing—review and editing, J.E.K.; methodology, validation, formal analysis, investigation, and data acquisition, W.S.S. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the National Research Council of Science and Technology under the Plasma E. I. (Grant ID: 1711121944, CRC−20−01−NFRI) and Korea Institute for Advancement of Technology (KIAT) grant funded by Korea Government (MOTIE). (P0008458, The Competency Development Program for Industry Specialist).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data will be made available upon request.

Acknowledgments

Authors are grateful to Lee at Korea Spectral Products for assisting with the SM245 spectrometer and the Semiconductor Process Diagnosis Research Center (SPDRC) at Myongji University for their skillful 300 mm fab equipment and facility.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Arienzo, M.; Orr-Arienzo, W.A. Silicon Nitride in Semiconductor Device Technology. In Materials Science Forum; Trans Tech Publication, Ltd.: Bäch, Switzerland, 1991; Volume 47, pp. 228–248. [Google Scholar] [CrossRef]
  2. Kim, B.; Hong, S.J. In-Situ Virtual Metrology for the Silicon-Dioxide Etch Rate by using Optical Emission Spectroscopy Data. J. Korean Phys. Soc. 2014, 65, 168–175. [Google Scholar] [CrossRef]
  3. Cheng, Y.; Lee, C.; Haung, C. Plasma Damage on Low-k Dielectric Materials. In Plasma Science and Technology-Basic Fundamentals and Modern Applications; IntechOpen: Vienna, Austria, 2018; Volume 15, pp. 291–318. [Google Scholar] [CrossRef] [Green Version]
  4. King, S.W.; French, M.; Bielefeld, J.; Lanford, W.A. Fourier Transform Infrared Spectroscopy Investigation of Chemical Bonding in Low-k a-SiC: H Thin Films. J. Non-Cryst. Solids 2011, 357, 2970–2983. [Google Scholar] [CrossRef]
  5. Wallace, R.M. In-Situ Studies of Interfacial Bonding of High-k Dielectrics for CMOS Beyond 22 nm. ECS Trans. 2008, 16, 255–271. [Google Scholar] [CrossRef]
  6. Cleveland, E.R.; Ruppalt, L.B.; Bennett, B.R.; Prokes, S.M. Effect of an In Situ Hydrogen Plasma Pre-Treatment on the Reduction of GaSb Native Oxides Prior to Atomic Layer Deposition. Appl. Surf. Sci. 2013, 277, 167–175. [Google Scholar] [CrossRef]
  7. Jang, J.; Kim, H.-S.; Cho, W.; Cho, H.; Kim, J.; Shim, S.I.; Jang, Y.; Jeong, J.-H.; Son, B.-K.; Kim, D.W.; et al. Vertical Cell Array Using TCAT (Terabit Cell Array Transistor) Technology for Ultra High Density NAND Flash Memory. In Proceedings of the Symposium on VLSI Technology, Kyoto, Japan, 15–17 June 2009. [Google Scholar]
  8. Choi, J.E.; Song, J.; Lee, Y.H.; Hong, S.J. Deep Neural Network Modeling of Multiple Oxide/Nitride Deposited Dielectric Films for 3D-NAND Flash. Appl. Sci. Converg. Technol. 2020, 29, 190–194. [Google Scholar] [CrossRef]
  9. Khan, A.H.; Srinivasan, S.; Choi, J.; Athayde, A.; Achutharaman, R. Etch Challenges for 3D NAND Flash Technology. In Proceedings of the ECS and SMEQ Joint International Meeting, Cancun, Mexico, 5–9 October 2014. [Google Scholar] [CrossRef]
  10. Clarke, P.E.; Field, D.; Hydes, A.J.; Klemperer, D.F.; Seakins, M.J. Mass Spectrometric Studies of Plasma Etching of Silicon Nitride. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 1985, 3, 1614. [Google Scholar] [CrossRef]
  11. Field, D.; Klemperer, D.F.; Wade, I.T. Spectroscopic Studies of Fluorescent Emission in Plasma Etching of Silicon Nitride. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1988, 6, 551. [Google Scholar] [CrossRef]
  12. Reyes-Betanzo, C.; Moshkalyov, S.A.; Swart, J.W.; Ramos, A. Silicon Nitride Etching in High- and Low-Density Plasmas using SF6/O2/N2 Mixtures. J. Vac. Sci. Technol. A Vac. Surf. Film 2003, 21, 461. [Google Scholar] [CrossRef] [Green Version]
  13. Barsukov, Y.; Volynets, V.; Lee, S.; Kim, G.; Lee, B.; Nam, S.K.; Han, K. Role of NO in Highly Selective SiN/SiO2 and SiN/Si Etching with NF3/O2 Remote Plasma: Experiment and Simulation. J. Vac. Sci. Technol. A Vac. Surf. Film 2017, 35, 061310. [Google Scholar] [CrossRef]
  14. Huang, S.; Volynets, V.; Hamilton, J.R.; Nam, S.K.; Song, I.; Lu, S.; Tennyson, J.; Kushner, M.J. Downstream Etching of Silicon Nitride using Continuous-Wave and Pulsed Remote Plasma Sources Sustained in Ar/NF3/O2 Mixtures. J. Vac. Sci. Technol. A Vac. Surf. Film 2018, 36, 021305. [Google Scholar] [CrossRef]
  15. Kuboi, N.; Tatsumi, T.; Kinoshita, T.; Shigetoshi, T.; Fukasawa, M.; Komachi, J.; Ansai, H. Prediction of Plasma-Induced Damage Distribution during Silicon Nitride Etching using Advanced Three-Dimensional Voxel Model. J. Vac. Sci. Technol. A Vac. Surf. Film 2015, 33, 061308. [Google Scholar] [CrossRef]
  16. Prévost, E.; Cunge, G.; De-Buttet, C.; Lagrasta, S.; Vallier, L.; Petit-Etienne, C. Study of selective chemical downstream plasma etching of silicon nitride and silicon oxide for advanced patterning applications. In Proceedings of the SPIE Advanced Lithography, San Jose, CA, USA, 26 February–2 March 2017. [Google Scholar] [CrossRef]
  17. Shinoda, K.; Miyoshi, N.; Kobayashi, H.; Izawa, M.; Saeki, T.; Ishikawa, K.; Hori, M. Self-Limiting Reactions of Ammonium Salt in CHF3/O2 Downstream Plasma for Thermal-Cyclic Atomic Layer Etching of Silicon Nitride. J. Vac. Sci. Technol. A Vac. Surf. Film 2019, 37, 051002. [Google Scholar] [CrossRef]
  18. Hsiao, S.N.; Nguyen, T.-T.-N.; Tsutsumi, T.; Ishikawa, K.; Sekine, M.; Hori, M. Etching Characteristics of PECVD-Prepared SiN Films with CF4/D2 and CF4/H2 Plasmas at Different Temperatures. In Proceedings of the 2020 International Symposium on Semiconductor Manufacturing (ISSM), Tokyo, Japan, 15–16 December 2020. [Google Scholar] [CrossRef]
  19. Hamada, T.; Masuda, S.; Nishida, K.; Yamamoto, S. Etching Characteristics of SixNy Film on Textured Single Crystalline Silicon Surface using Ar/CF4 and He/CF4 Surface-Discharge Plasma. Coatings 2020, 10, 563. [Google Scholar] [CrossRef]
  20. Jang, D.B.; Hong, S.J. In-Situ Monitoring of Multiple Oxide/Nitride Dielectric Stack PECVD Deposition Process. Trans. Electr. Electron. Mater. 2018, 19, 21–26. [Google Scholar] [CrossRef]
  21. Jang, Y.; Roh, H.; Park, S.; Jeong, S.; Ryu, S.; Kwon, J.; Kim, N.; Kim, G. Characteristics of a Plasma Information Variable in Phenomenology-Based, Statistically-Tuned Virtual Metrology to Predict Silicon Dioxide Etching Depth. Curr. Appl. Phys. 2019, 19, 1068–1075. [Google Scholar] [CrossRef]
  22. Rachdi, L.; Hofmann, M. Use of Optical Emission Spectroscopy to Predict Silicon Nitride Layer Properties. Vacuum 2021, 191, 110322. [Google Scholar] [CrossRef]
  23. Zhu, X.; Pu, Y. Optical Emission Spectroscopy in Low-Temperature Plasmas Containing Argon and Nitrogen: Determination of the Electron Temperature and Density by the Line-Ratio Method. J. Phys. D 2010, 43, 403001. [Google Scholar] [CrossRef]
  24. Evdokimov, K.E.; Konischev, M.E.; Pichugin, V.F.; Sun, Z. Study of Argon Ions Density and Electron Temperature and Density in Magnetron Plasma by Optical Emission Spectroscopy and Collisional-Radiative Model. Res. Eff. Technol. 2017, 3, 187–193. [Google Scholar] [CrossRef]
  25. Onishi, H.; Yamazaki, F.; Hakozaki, Y.; Takemura, M.; Nezu, A.; Akatsuka, H. Measurement of Electron Temperature and Density of Atmospheric-Pressure Non-Equilibrium Argon Plasma Examined with Optical Emission Spectroscopy. Jpn. J. Appl. Phys. 2021, 60, 026002. [Google Scholar] [CrossRef]
  26. Chien, K.; Chang, C.; Djurdjanovic, D. Virtual Metrology Modeling of Reactive Ion Etching Based on Statistics-Based and Dynamics-Inspired Spectral Features. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2021, 39, 064003. [Google Scholar] [CrossRef]
  27. Kim, W.J.; Bang, I.Y.; Kim, J.H.; Park, Y.S.; Kwon, H.T.; Shin, G.W.; Kang, M.; Cho, Y.; Kwon, B.; Kwak, J.H. Etching Characteristics of NF3 and F3NO at Reactive Ion Etching Plasma for Silicon Oxide and Silicon Nitride. J. Korean Phys. Soc. 2021, 79, 290–296. [Google Scholar] [CrossRef]
  28. Xu, D.; Zou, S.; Xin, Y.; Su, X.; Wang, X. Characteristics of Dual-Frequency Capacitively Coupled SF6/O2 Plasma and Plasma Texturing of Multi-Crystalline Silicon. Chin. Phys. B 2014, 23, 065201. [Google Scholar] [CrossRef]
  29. Duluard, C.Y.; Dussart, R.; Tillocher, T.; Pichon, L.E.; Lefaucheux, P.; Puech, M.; Ranson, P. SO2 Passivating Chemistry for Silicon Cryogenic Deep Etching. Plasma Sources Sci. Technol. 2008, 17, 045008. [Google Scholar] [CrossRef]
  30. Cruden, B.A.; Rao, M.; Sharma, S.P.; Meyyappan, M. Fourier-Transform Infrared and Optical Emission Spectroscopy of CF4/O2/Ar Mixtures in an Inductively Coupled Plasma. J. Appl. Phys. 2003, 93, 5053–5062. [Google Scholar] [CrossRef]
  31. Czerwiec, T.; Greer, F.; Graves, D.B. Nitrogen Dissociation in a Low Pressure Cylindrical ICP Discharge Studied by Actinometry and Mass Spectrometry. J. Phys. D 2005, 38, 4278. [Google Scholar] [CrossRef]
  32. Kawata, H.; Takao, Y.; Murata, K.; Nagami, K. Optical Emission Spectroscopy of CF4+O2 Plasmas using a New Technique. Plasma Chem. Plasma Process. 1988, 8, 189–206. [Google Scholar] [CrossRef]
  33. Kiss, L.; Nicolai, J.; Conner, W.T.; Sawin, H.H. CF and CF2 Actinometry in a CF4/Ar Plasma. J. Appl. Phys. 1992, 71, 3186–3192. [Google Scholar] [CrossRef]
  34. Jamroz, P.; Zyrnicki, W. Optical Emission Characteristics of Glow Discharge in the N2–H2–Sn(CH3)4 and N2–Ar–Sn(CH3)4 Mixtures. Surf. Coat. Technol. 2006, 201, 1444–1453. [Google Scholar] [CrossRef]
  35. Kimura, T.; Hanaki, K. Experiments and Global Model Analysis of Inductively Coupled CF4/O2/Ar Plasmas. Jpn. J. Appl. Phys. 2008, 47, 8537. [Google Scholar] [CrossRef]
  36. Li, J.; Kim, S.J.; Han, S.; Kim, Y.; Chae, H. Etching Characteristics of Hydrogenated Amorphous Carbon with Different sp2/sp3 Hybridization Ratios in CF4/O2 Plasmas. Plasma Process. Polym. 2021, 18, 2100075. [Google Scholar] [CrossRef]
  37. Ichikawa, Y.; Sakamoto, T.; Nezu, A.; Matsuura, H.; Akatsuka, H. Actinometry Measurement of Dissociation Degrees of Nitrogen and Oxygen in N2–O2 Microwave Discharge Plasma. Jpn. J. Appl. Phys. 2010, 49, 106101. [Google Scholar] [CrossRef]
  38. Kim, Y.S.; Jeon, S.H.; Jung, C.H. Fluorination Reaction of Uranium Dioxide in CF4/O2/N2 Rf Plasma. Ann. Nucl. Energy 2003, 30, 1199–1209. [Google Scholar] [CrossRef]
  39. Premachandran, V. Enhanced Etching of Silicon in CF4-O2-N2 Plasma. Appl. Phys. Lett. 1990, 57, 678–679. [Google Scholar] [CrossRef]
Figure 1. Schematic of the ICP-type chamber with an RF power, vacuum, and sensing units.
Figure 1. Schematic of the ICP-type chamber with an RF power, vacuum, and sensing units.
Coatings 12 01064 g001
Figure 2. An example of OES data of atoms and molecules in SF6/O2/Ar plasma.
Figure 2. An example of OES data of atoms and molecules in SF6/O2/Ar plasma.
Coatings 12 01064 g002
Figure 3. Leakage reduction verification using CF4 plasma. (a) before and (b) after O-ring replacements. After replacement, the intensity of CN and N2 drastically reduced. However, the remaining CN and N2 peaks were observed, suggesting that the leakage was not completely controlled.
Figure 3. Leakage reduction verification using CF4 plasma. (a) before and (b) after O-ring replacements. After replacement, the intensity of CN and N2 drastically reduced. However, the remaining CN and N2 peaks were observed, suggesting that the leakage was not completely controlled.
Coatings 12 01064 g003
Figure 4. (a) CF4, (b) O2, and (c) N2 OES spectrum. N2-related peaks in (a) and (b) appeared due to air leakage.
Figure 4. (a) CF4, (b) O2, and (c) N2 OES spectrum. N2-related peaks in (a) and (b) appeared due to air leakage.
Coatings 12 01064 g004
Figure 5. (a) CF4/O2, (b) CF4/N2, (c) O2/N2 OES spectrum. Molecular species such as CO, CN, and NO, generated by the reactions of two injected gas species, are observed.
Figure 5. (a) CF4/O2, (b) CF4/N2, (c) O2/N2 OES spectrum. Molecular species such as CO, CN, and NO, generated by the reactions of two injected gas species, are observed.
Coatings 12 01064 g005
Figure 6. The spectrum of CF4/O2/N2 plasma (a) according to N2 flow rate and (b) selected wavelength of each species in the N2 and 8-sccm cases. We selected the wavelengths of atoms and molecules. Representative peaks were used in the case of molecules as the OES spectrum of molecules has band-shaped emission spectra.
Figure 6. The spectrum of CF4/O2/N2 plasma (a) according to N2 flow rate and (b) selected wavelength of each species in the N2 and 8-sccm cases. We selected the wavelengths of atoms and molecules. Representative peaks were used in the case of molecules as the OES spectrum of molecules has band-shaped emission spectra.
Coatings 12 01064 g006
Figure 7. Actinometry results of (a) fluorine, (b) oxygen, (c) NO, (d) N2, (e) CN, and (f) CO.
Figure 7. Actinometry results of (a) fluorine, (b) oxygen, (c) NO, (d) N2, (e) CN, and (f) CO.
Coatings 12 01064 g007
Figure 8. Trends of the F actinometry results versus the etch rate of Si3N4.
Figure 8. Trends of the F actinometry results versus the etch rate of Si3N4.
Coatings 12 01064 g008
Figure 9. Production rate of the F atoms based on the N2 flow rate. The consumption rate, shown in the red line, has a negative value since it uses the generated F atoms.
Figure 9. Production rate of the F atoms based on the N2 flow rate. The consumption rate, shown in the red line, has a negative value since it uses the generated F atoms.
Coatings 12 01064 g009
Table 1. Process recipe of all single and mixed gas plasmas.
Table 1. Process recipe of all single and mixed gas plasmas.
No.RF Power
[W]
Pressure
[mTorr]
Gas [sccm]
CF4O2N2Ar
1300540004
20400
30040
420200
520020
602020
74040
84042
94044
104046
114048
Table 2. Information on wavelengths used in the Results and Discussion section with their original wavelength.
Table 2. Information on wavelengths used in the Results and Discussion section with their original wavelength.
SpeciesWavelength (nm)Shifted Wavelength (nm)
Ar750.4750.87
F712.8713.1
703.7704.1
685.6686.5
O844.6845.08
777.1778.01
NO259.2259.07
247.9247.42
N2394.3394.79
380.56381.1
337.1337.62
CN388.43388.92
CO483.5483.61
438438.16
297.7297.73
283283.27
Table 3. Information on selected wavelengths used in actinometry.
Table 3. Information on selected wavelengths used in actinometry.
SpeciesShifted Wavelength (nm)TransitionThreshold Energy (eV)
Ar750.873s23p5(21/2)4p → 3s23p5(21/2)4s13.5
F704.12s22p4(3P)3p → 2s22p4(3P)3s14.7
686.52s22p4(3P)3p → 2s22p4(3P)3s14.5
O845.082s22p3(4S°)3p → 2s22p3(4S°)3s11
778.012s22p3(4S°)3p → 2s22p3(4S°)3s10.7
NO259.07A2Σ+ → X2Π (0,3)
A2Σ+ → X2Π (0,2)
247.42
N2394.79C3Πu → B3Πg (2,5)
C3Πu → B3Πg (0,2)
C3Πu → B3Πg (0,0)
381.1
337.62
CN388.92B2Σ → X2Σ (0,0)
CO483.61B1Σ → A1π (0,1)
b3Σ+ → a3π (0,1)
297.73
283.27b3Σ+ → a3π (0,0)
Table 4. The reaction of F production and consumption with and without N2 addition.
Table 4. The reaction of F production and consumption with and without N2 addition.
N2 Ejected
ProductionConsumptionProductionConsumption
CF4 + E => CF3+ + F + 2EF + E => F+ + 2ECF4 + E => CF3+ + F + 2EF + E => F+ + 2E
CF4 + E => CF2+ + 2F + 2EF + F => F2 + ECF4 + E => CF3 + F + EF + F => F2 + E
CF4 + E => CF+ + F2 + F + 2EF + O2 => O2 + FCF4 + E => 2F + CF2 + EF + F => 2F + E
CF4 + E => CF3 + F + EF + CF3 => CF4CF4 + E => F2 + CF + F + EF + CF3 => CF4
CF4 + E => 2F + CF2 + EF + CF2 => CF3CF3+ + E => CF2 + FF + CF2 => CF3
CF4 + E => F2 + CF + F + EF + CF => CF2CF3 + E => CF2 + F + EF + COF => COF2
CF3+ + E => CF2 + FF + COF => COF2CF2 + E => CF + F + E
CF3 + E => CF2 + F + E CF2 + E => CF+ + F + 2E
CF3 + E => CF2+ + F + 2E F+ + E => F
CF2 + E => CF + F + E F2 + E => F + F
CF2 + E => CF+ + F + 2E CF3+ + F => 2F + CF2
CF + E => C + F + E CF+ + F => CF + F
F+ + E => F F2 + F => F + F2 + E
F2 + E => F + F F+ + F => 2F
CF3+ + F => 2F + CF2 CF3+ + F => F + CF3
CF+ + F => CF + F F+ + C => C+ + F
F + F => 2F + E CF+ + F => 2F + C
F2 + F => F + F2 + E F2 + CF2 => CF3 + F
F+ + F => 2F F2 + CF3 => CF4 + F
F3+ + F => F + CF3 CF+ + E => C + F
F+ + C => C+ + F O + F => F + O + E
CF+ + F => 2F + C F+ + O => O+ + F
F2 + CF2 => CF3 + F FO + O => O2 + F
F2 + CF3 => CF4 + F O + CF2 => COF + F
CF+ + E => C + F O + CF2 => 2F + CO
O2+ + CF4 => CF3+ + O2 + F O + CF3 => COF2 + F
O + F => F + O + E O + COF => CO2 + F
F+ + O => O+ + F O* + CF3 => COF2 + F
F+ + O2 => O2+ + F O* + CF2 => COF + F
FO + O => O2 + F O* + CF2 => 2F + CO
O + CF => CO + F O* + COF => CO2 + F
O + CF2 => COF + F O* + FO => O2 + F
O + CF2 => 2F + CO COF + E => CO + F + E
O + CF3 => COF2 + F C+ + F => F + C
O + COF => CO2 + F CF2+ + F => F + CF2
O* + CF => CO + F O2+ + CF4 => CF3+ + O2 + F
O* + CF3 => COF2 + F F+ + O2 => O2+ + F
O* + CF2 => COF + F CF3 + E => CF2+ + F + 2E
O* + CF2 => 2F + CO
O* + COF => CO2 + F
O* + FO => O2 + F
COF + E => CO + F + E
CF + N => CN + F
CF2 + N => 2F + CN
CF3 + N => F2 + CN + F
N+ + F => F + N
N2+ + F => F + N2
C+ + F => F + C
CF2+ + F => F + CF2
Table 5. Surface reaction mechanism in Si3N4 etching.
Table 5. Surface reaction mechanism in Si3N4 etching.
ReactionComment
F + SiN(s) → SiNF(s)Fluorination
F + SiNF(s) → SiNF2(s)Fluorination
F + SiNF2(s) → SiNF3(s)Fluorination
F + SiNF3(s) → SiF4 + N(s)Fluorination and Desorption
NO + N(s) → N2O + SiN(s)Removal of Nitride Sites
N + N(s) → N2 + SiN(s)Removal of Nitride Sites
NO + N(s) → N2 + O(s)Oxidation of Nitride Sites
NO + O(s) → NO2 + SiN(s)Removal of Oxidized Sites
O + O(s) → O2 + SiN(s)Removal of Oxidized Sites
O(s) + O(s) → O2 + SiN(s)Removal of Oxidized Sites
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Song, W.S.; Kang, J.E.; Hong, S.J. Spectroscopic Analysis of CF4/O2 Plasma Mixed with N2 for Si3N4 Dry Etching. Coatings 2022, 12, 1064. https://doi.org/10.3390/coatings12081064

AMA Style

Song WS, Kang JE, Hong SJ. Spectroscopic Analysis of CF4/O2 Plasma Mixed with N2 for Si3N4 Dry Etching. Coatings. 2022; 12(8):1064. https://doi.org/10.3390/coatings12081064

Chicago/Turabian Style

Song, Wan Soo, Ju Eun Kang, and Sang Jeen Hong. 2022. "Spectroscopic Analysis of CF4/O2 Plasma Mixed with N2 for Si3N4 Dry Etching" Coatings 12, no. 8: 1064. https://doi.org/10.3390/coatings12081064

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop