Next Article in Journal
Preparation of Ti-Al-Si Gradient Coating Based on Silicon Concentration Gradient and Added-Ce
Next Article in Special Issue
Effect of Modified Tetraethyl Orthosilicate Surface Treatment Agents on the Permeability of Airport Pavement Concrete
Previous Article in Journal
Research on the Friction and Wear Properties of Dents Textured Rolling Element Bearings under Dry Wear
Previous Article in Special Issue
Enhanced Properties of Micro Arc Oxidation Coating with Cu Addition on TC4 Alloy in Marine Environment
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Synthesis and Characterization of Boron Thin Films Using Chemical and Physical Vapor Depositions

1
Industrial Focus Group XUV Optics, MESA+ Institute, University of Twente, P.O. Box 217, 7500 AE Enschede, The Netherlands
2
NanoLab Cleanroom, MESA+ Institute, University of Twente, P.O. Box 217, 7500 AE Enschede, The Netherlands
*
Authors to whom correspondence should be addressed.
Coatings 2022, 12(5), 685; https://doi.org/10.3390/coatings12050685
Submission received: 13 April 2022 / Revised: 11 May 2022 / Accepted: 14 May 2022 / Published: 16 May 2022
(This article belongs to the Special Issue Thin-Film Synthesis, Characterization and Properties)

Abstract

:
Boron as thin film material is of relevance for use in modern micro- and nano-fabrication technology. In this research boron thin films are realized by a number of physical and chemical deposition methods, including magnetron sputtering, electron-beam evaporation, plasma enhanced chemical vapor deposition (CVD), thermal/non-plasma CVD, remote plasma CVD and atmospheric pressure CVD. Various physical, mechanical and chemical characteristics of these boron thin films are investigated, i.e., deposition rate, uniformity, roughness, stress, composition, defectivity and chemical resistance. Boron films realized by plasma enhanced chemical vapor deposition (PECVD) are found to be inert for conventional wet chemical etchants and have the lowest amount of defects, which makes this the best candidate to be integrated into the micro-fabrication processes. By varying the deposition parameters in the PECVD process, the influences of plasma power, pressure and precursor inflow on the deposition rate and intrinsic stress are further explored. Utilization of PECVD boron films as hard mask for wet etching is demonstrated by means of patterning followed by selective structuring of the silicon substrate, which shows that PECVD boron thin films can be successfully applied for micro-fabrication.

1. Introduction

Boron (B) is a low-abundance earth element and differs from its group elements in the periodic system, as its high hardness yet low brittleness are unique elemental properties for a metalloid. Boron finds its major use in reinforcement and insulation of industrial fiber plastics, metal alloys and ceramics. Moreover, boron has applications in the semiconductor industry, where it is utilized for implantation and solid state diffusion by thermal processing and allows for the functionalization of materials and realization of alloys to enhance electrical [1,2,3,4,5,6,7,8], chemical [9,10,11,12,13,14,15,16,17] and optical properties [18,19,20,21,22]. The specific deposition techniques applied in the semiconductor industry are physical vapor deposition (PVD), such as evaporation and sputtering, and chemical vapor deposition (CVD).
Besides the use as dopant, boron in the form of a thin film has the potential to be a suitable material for micro-machining. Physical characteristics as tunable stress and low roughness are especially useful to tailor properties of free-standing boron thin films (membranes) or cantilever structures. For realizing such boron structures typically fabrication processes are involved, which are based on the etch selectivity during wet chemical processing. Current semiconductor and micro-fabrication technologies are primarily based on processes utilizing selective etching and deposition of thin films with a thickness in the range of tens to a few hundred nanometers. These thin films, commonly (poly)silicon, silicon dioxide and silicon nitride, are typically selectively structured by a selected, rather conventional and well-known, set of etchants, including hydrofluoric acid (HF) based solutions and strong alkaline solutions, such as potassium hydroxide (KOH) and tetramethylammonium hydroxide (TMAH). Thus, introducing a thin film material which is resistant to these commonly used etchants is beneficial. Obviously, such a chemical resistant film can also be used to protect an underlying film/substrate against wet chemical etching. For this particular function the presence of defects, such as pinholes and thickness in homogeneities, may cause defects in the underlying device film/material, or may result in undesired etching, for which reason, defects in boron thin films have to be avoided.
In general, the growth of a thin film depends mainly on the ‘energy of formation’, which results in a film’s specific characteristics. In practice, every deposition type (either CVD or PVD based) covers a specific energy window, which is defined by practical limits of a deposition system and by its operational deposition temperature, pressure and boron source. We selected a number of common, in-house (thus, locally accessible) available chemical and physical vapor deposition techniques with a boron source, i.e., magnetron sputtering (MS), electron-beam evaporation (Evap), plasma enhanced CVD (PECVD), thermal/non-plasma CVD (NPCVD), remote plasma CVD (RPCVD) and atmospheric pressure CVD (APCVD).
The realized boron films are studied concerning a number of characteristics, with the annotation that the parameter space was not explored extensively, but rather concerned conditions which were found to yield adequate results from a number of separate, local optimizations. Results are therefore machine and material dependent. In fact, similar to the deposition techniques employed in this work to realize boron thin films, over the last decades for a variety of thin films/materials and/or deposition techniques investigations/characterizations/optimizations were performed by MESA+ affiliated academic research groups [23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39]. This work is dedicated to research in the realization of boron thin films and focuses on typical film characteristics of films obtained with various deposition techniques.
The boron film characteristics studied include deposition rate, uniformity, roughness, stress, composition, chemical resistance and defectivity. The deposition rate is of interest from a productivity point-of-view. Moreover, the deposition rate in combination with the background pressure can potentially relate to the number of impurities built into the film (such as oxygen content). The thickness uniformity is of interest for wafer-scale sized structures (or any other large surface substrates) in which the application of the film scales with thickness, mainly optical or electrical designs. The roughness is also relevant, since it influences surface interactions like wettability and adhesion. The film intrinsic stress is especially of importance in case the thin film is fabricated into a free-standing structure, as in a pellicle, membrane or cantilever. Furthermore, the intrinsic stress has a direct influence on the mechanical properties of the substrate and already present layers resulting deflection and strain. The composition of the boron film is important in thermal or mechanical applications, where impurities affect the material stability as well as strength. Lastly, the number of defects and chemical resistance are important if the boron film is applied as protective coating for underlying layers in combination with a reactive environment (both during fabrication as well as in applications).
In follow-up to this brief exploration of boron thin films deposited by different methods and the resulting film characteristics, we focus on the PECVD method in more detail based on the found boron film properties. Several PECVD parameters—i.e., the precursor flow, the pressure, the plasma power and the deposition temperature—were varied to investigate their influences on the deposition rate, thickness uniformity and intrinsic stress. Finally, these PECVD thin films are evaluated for their suitability as a thin film material for micro-fabrication processes, such as for usage as masking or structural layer.

2. Materials and Methods

All boron depositions are performed on 100 mm diameter single-side polished p-type, (100)-oriented silicon substrates (Si-Mat, Kaufering, Germany) with a thickness of 525 ± 25 µm and a resistivity of 5–10 Ωcm. In this work, the deposited films have been reproduced at least 2 times, and no discrepancies were found. In case of PECVD, NPCVD and APCVD, prior to boron deposition any native oxide was removed from the substrates by immersion in 1% hydrofluoric acid (HF, 1 min; Technic, Balve, Germany), followed by quick dump rinsing in demineralized water and spin drying. This removal step was not performed prior to magnetron sputtering and RPCVD due to logistic challenges.
To ensure a minimal introduction of defects onto the surface of substrates prior to boron deposition, the silicon substrates were handled with care in the ISO 5 class cleanroom, to prevent damage and the introduction of particles influencing the boron thin film characterization on defects. In addition, the effect of the removal of native oxide was investigated as this could potentially introduce the defects. A comparison was made between out-of-the-stock-box substrates and substrates of which the native oxide was stripped. This evidenced that no significant number of particles were added to the substrates upon applying the procedure for native oxide removal prior to boron thin film depositions. More specific, five substrates of both types were inspected by dark field (DF; with a tungsten halogen light source; MX-61, Olympus, Leiderdorp, The Netherlands) optical microscopy and bright spots on each surface were counted at a 100× magnification in two perpendicular directions crossing the wafer center. Typical densities of particles on out-of-the-stock-box wafers and wafers of which the native oxide was removed were found to be 0.1 and 0.3 particles/mm2, respectively. In an identical manner the defects after the boron depositions were observed and counted. By etching the underlying silicon even non-observable pinholes after deposition could be visualized.

2.1. Deposition Methods and Settings

In Table 1 an overview is given of the boron deposition methods used in this work, including applied settings. More details about the CVD and PVD systems can be found in Appendix A and Appendix B.

2.2. Annealing

Annealing of the boron films was performed in an atmospheric tube furnace at 650 °C (Tempress, Vaassen, The Netherlands) under a nitrogen (N2) flow for 1 h. This specific temperature was chosen to accomplish out-diffusion of bonded or embedded ‘free’ hydrogen from the boron films, but also to prevent the formation of volatile boron species as well as to minimize diffusion of boron into the silicon substrate.

2.3. Analysis

The deposited film thickness (including its uniformity) was measured by X-ray reflectometry (XRR) (Empyrean laboratory diffractometer; Malvern Panalytical, Almelo, The Netherlands) at 3 locations on the 100 mm substrate, namely, approximately in the center (0 mm) and 20 and 40 mm towards the wafer edge.
The surface roughness of the boron films was measured by atomic force microscopy (AFM) in ‘small area tapping’ mode (Dimension Edge; Bruker, Billerica, MA, USA) for a surface area scan of 1 × 1 µm. Analysis of the roughness as root mean square (RMS) was performed using Gwyddion (v2.44) SPM software.
Mechanical deflection of substrates was measured by white light interferometry (WLI) (NewView 7200; Zygo, Middlefield, CT, USA) before and after deposition and, by applying Stoney’s equation [40], the intrinsic stress in the boron films was calculated (details of the protocol used for stress calculations based on WLI can be found in [41]). The center point of each substrate was measured with a 1× magnification (field of view: 120 mm2).
The composition of the deposited boron films, including oxygen content, was studied with X-ray photoelectron spectrometry (Thermo-Fisher Scientific, Bleiswijk, The Netherlands). Ar+ sputter depth profiles experiments were performed (1 keV sputter energy, 2.5 mm × 2.5 mm sputter spotsize) and resulting data were analyzed using Thermo Scientific Advantage System software (Advantage 5.968).
The elemental composition, including hydrogen, of some films was analyzed by RBS (Rutherford back-scattering spectrometry) and ERD (elastic recoil detection) by Detect99 (Eindhoven, The Netherlands). Measurements were carried out with a 2000 keV He+ beam. ERD was performed with the sample tilted by 75° and the detector at a recoil angle of 25°. RBS was performed under perpendicular incidence and, where possible, in channeling mode, to reduce the background under the light element features. For RBS two detectors were used at a variable angle and a fixed scattering angle of 170°.
The crystalline properties of a RPCVD boron film were investigated using grazing incidence X-ray diffraction (GI-XRD) analysis (Empyrean X-ray diffractometer with a Cu-Kα source (1.5406 Å); Malvern Panalytical, Almelo, The Netherlands) under the condition ωin = 1°. The XRD-spectrum (Appendix C) shows an amorphous film (as for all films).
The chemical resistivity was investigated by wet etch tests: samples with the deposited boron films were immersed in buffered hydrofluoric acid (HF:NH4F 7:1; Technic, Balve, Germany) solution for 10 min and a 25 wt.% tetramethylammoniumhydroxide (TMAH, Technic, Balve, Germany) solution at 90 °C for over 5 h. Prior and post to wet chemical treatments, the thickness of the boron films was measured with XRR to obtain the etch rate, and thereby information about the resistivity of the boron films for the mentioned wet chemicals.

3. Results and Discussion

The deposited boron films have been analyzed on their use as material for surface and bulk micro-machining. In the following subsections, obtained results regarding film thickness and non-uniformity, roughness, intrinsic stress, elemental composition, resistance to certain wet chemicals and number of defects are discussed. An overview of the investigated thin film properties of all deposition methods is shown in Table 2. A detailed discussion of these properties follows in Section 3.1, Section 3.2, Section 3.3, Section 3.4, Section 3.5, Section 3.6, Section 3.7, Section 3.8 and Section 3.9.

3.1. Thin Film Thickness

The deposition rates of the different boron films cover different ranges, resulting from local process development (see Table 2). In fact, PECVD has the highest deposition rate, which is almost 50 times the deposition rate of APCVD. Interestingly, the boron deposition rate of APCVD shows a small decrease within the first 15 nm of the film (i.e., from 0.4 nm/min to 0.3 nm/min), followed by a sharp decay for thicker layers (i.e., down to 0 nm/min), which limits the practical deposition thickness of this method to about 18.5 nm. The reason for this self-limiting thickness effect is related to the composition of this specific film. Namely, XPS analysis showed six atomic percent of silicon throughout the deposited film (see Figure 1 in Section 3.5), which indicates that boron deposited on the surface immediately intermixes with the silicon substrate. As the film thickness increases, more silicon will diffuse through the deposited boron film, resulting in a constant/fixed silicon content throughout the boron film. The diluted boron precursor could play a role in this ongoing intermixing of boron and silicon and the restriction on the maximum achievable thickness. Initially, the film growth is reaction rate limited, followed by a diffusion-limited growth rate when the film is around 15 nm. Hereafter, at 18.5 nm, the growth rate is believed to reach a saturation regime. It is likely that both diffusion through the growing boron layer and processes at the boron–silicon interface have a mixed effect in both a linear and parabolic regime [42].

3.2. Thickness Non-Uniformity

The achieved thickness non-uniformity is mostly related to the deposition chamber geometry and design. For PVD the substrate rotation and relatively large target to substrate distance benefit the uniformity of the deposited boron films. In contrast to PVD, for CVD deposition systems several geometrical system aspects are critical for the thickness non-uniformity, such as the substrate heater, the size of the precursor showerhead, the plasma electrode size and target-substrate distance. The NPCVD system displays the largest thickness non-uniformity (i.e., 58%), which is due to exposure of the substrate to non-preheated gas. This negatively influences the temperature homogeneity at the substrate surface, which, in turn, affects the local deposition rate. A better uniformity is found for the PECVD system: here influence of the plasma allows for the formation of reactive species towards the surface, resulting in a better thickness uniformity. The RPCVD system shows a thickness non-uniformity comparable to the PECVD system. The APCVD system produces boron thin films with a thickness non-uniformity of less than 5%, which is because the deposition chamber is optimized for epitaxial growth processes. In general, our PVD boron films exhibit a better thickness uniformity than CVD-based boron films.

3.3. Roughness

Film roughness can be of importance for several applications, and it is found that there is a clear distinction between the PVD and low temperature (LT; <500 °C) CVD depositions (see Table 2; representative AFM-images are shown in Appendix D). The surface roughness of these LT-CVD films is approximately 7 ± 1 times larger than the roughness of the PVD films. This difference is mainly caused by the formation of boron clusters of which the initial size scales with temperature [43]. This is supported by the roughness measured on the APCVD film, which is only two times that of the PVD but has two times the deposition temperature of the PECVD [30]. Furthermore, the deposition rate has an impact on the initial cluster size: the higher the flux of atoms/molecules arriving on the surface per unit of time, the larger the clusters grow, and hence the higher the roughness of the deposited film [44]. Moreover, the LT-CVD thermal energy is sufficient to activate the terminated hydrogen of the silicon surface, but restricts mobility, preventing the formation of a continuous/closed film in the initial growth phase, promoting local growth which could increase the film roughness [30].

3.4. Intrinsic Stress

In general, stress development during thin film formation correlates to the deposition rate, temperature and film microstructure, giving rise to complex interactions that cannot be fully explained based on solely the intrinsic stress of the boron thin film. Nevertheless, based on the nature of the deposition, the type of this stress, i.e., compressive or tensile, can be explained. In case of CVD films, the first atomic layers of boron will intermix with the silicon substrate if the native oxide is removed (in the case of PECVD, NPCVD and APCVD) and the thickness of this intermixed layer depends on the deposition energy and is increased by temperature and plasma. This intermixing induces thin film relaxation, which adds compressive stress to the growing film. In contrast, the RPCVD has a higher stress compared to the other LT-CVD films, since here, the native oxide layer was not removed, and thus intermixing and relaxation is not expected to take place. In terms of temperature, at <600 °C the (partial) decomposition of B2H6 results in the formation of boron films without significant intermixing, while at >700 °C the deposited boron has sufficient energy to form a silicide [45]. This is evidenced by the 1.8 GPa compressive stress of the APCVD film, which is assumed to be the 6 at% of silicon in the film (see Table 2). An even higher compressive stress is found for the sputtered film (−4.4 GPa). The incoming boron atoms have sufficient energy (or rather momentum) for continuous intermixing with the first atomic layers on the surface [46], either the recipient film (native oxide on silicon) or the already deposited film [47]. In the literature, this phenomenon is referred to as ion-peening, knock-on implantation, recoil implantation or sub-plantation, and is responsible for densification of the films and can result in phase transformations [48,49] and high compressive stresses [50]. In comparison with CVD, the entire substrate and growing film are thermally energetic and allow for continuous intermixing (diffusion) over all atomic layers. In sputtered boron films this surface intermixing, implantation and reordering of the relative small boron atoms result in a higher density film than a CVD film. In electron-beam evaporation, a significant lower energy is expected compared to magnetron sputtering, which leads to less atom intermixing, implantation, reordering, and thus, a lower density is expected [51]. Hence, the found stress of 0.35 GPa in evaporated boron thin films is in agreement with the literature: once the initially formed islands coalesce, relaxation occurs and further material added to the film yields a tensile stress [52].
Analysis of the deposited boron films is performed post to the N2 annealing. Annealing does not reduce the thickness but induces the formation of surface oxide (BOx). The latter cannot explain the large stress changes as reported in Table 2. In fact, after annealing, the boron films become less compressive or (more) tensile, except for the APCVD film, which is not affected by annealing at temperatures below its deposition temperature of 700 °C. The origin of the observed stress change after annealing in this study is not found, but mechanisms at play could include diffusion and intermixing of the boron with the silicon, thin film relaxation, densification or out diffusion of hydrogen.
For the sputtered boron films, a stress shift of 4 GPa is observed after annealing, as can be seen in Table 2. This large shift in stress cannot be explained by the XPS data, since there are no significant compositional changes. In addition, we found that the deposition of different thicknesses of sputtered boron film results in a reproducible stress. Combining these two findings would suggest that no material is removed from the film upon annealing and interface effects do not play a significant role, as of which both cannot explain the 4 GPa stress change. The XRR data pre and post annealing (not shown) shows a slight contrast increase, suggesting that no significant diffusion of boron through the native oxide layer into the silicon substrate has occurred. Comparable experiments from the literature [53,54,55] support this finding of a native oxide functioning as diffusion barrier from chemical deposited boron sources. Therefore, we believe that the stress change is the result of film relaxation and densification [56,57].
The origin of the stress change (0.4 to 1.5 GPa) in evaporated boron films after annealing is most likely caused by densification. No significant potential volatile impurities (including hydrogen and oxygen species) are incorporated in this film (XPS data, RBS and ERD data), which might be due to the relative high pressure (7.6 × 10−6 mTorr) during deposition. Furthermore, the intrinsic stress is already tensile, indicating the low energetic nature of thermal evaporation, and hence the lack of the typical effects in sputtering (regarding ion-peening and implantation) to densify the evaporated thin film during growth [51,58,59].
For the PECVD, NPCVD and RPCVD films, we assume that the deposition temperature [45] and/or plasma energy [60] is not sufficient to fully dissociate the B2H6 precursor based on the literature and our analysis. Consequently, during deposition the B2H6 precursor dissociates into borane (BH3), which adheres to the surface, resulting in one or two hydrogen atoms per boron atom being incorporated into the film. During annealing at temperatures above the deposition temperature, this built-in hydrogen will diffuse out, tentatively resulting in a more tensile stress [61], in agreement to the results presented in this paper. RBS and ERD measurements on the sputtered and PECVD boron films support this hypothesis, since the hydrogen content in as-sputtered film is below 2%, whereas non-annealed PECVD films contain up to 16% hydrogen.

3.5. Elemental Composition

In Figure 1, XPS depth profiles of boron films realized by three different deposition methods are shown. XPS depth profiling showed that the sputtered boron film contains around 98 at% boron (B), 1.5 at% carbon (C) and 0.5 at% of oxygen (O2) and argon (Ar) and metal traces (as generally expected for a sputter-deposited film). A comparable composition is found for the evaporated film, i.e., 97 at% B, <1% C and >3% O2, as well as silicon (Si) and metal traces. The PECVD film contains over 99 at% of B and only a slight number of residual elements and the RPCVD films have a similar boron content. The NPCVD film has a significant lower boron concentration (95 at%) as well as a high oxygen content (over 3 at%) and less than 2 at% of C as well as trace elements. The relative high oxygen content in the NPCVD film can be attributed to the relative high background pressure and low deposition rate this oxygen will be embedded into the film. In fact, an even a lower B percentage is found in the APCVD film (less than 92 at%); however the APCVD film has no increased oxygen level. Due to the relatively high APCVD temperature, B and Si intermix during the film formation, as explained in Section 3.1.
Figure 1. XPS depth profiles of boron thin films realized in this work by (a) atmospheric pressure CVD, (b) magnetron sputtering, (c) plasma enhanced CVD.
Figure 1. XPS depth profiles of boron thin films realized in this work by (a) atmospheric pressure CVD, (b) magnetron sputtering, (c) plasma enhanced CVD.
Coatings 12 00685 g001

3.6. Chemical Resistance

We found that a boron thin film, when free of contaminants, is chemically resistant to the wet etchants TMAH and BHF, which are primarily used to etch, respectively, Si and oxide films. From chemical resistance tests, such as immersion of substrates with boron thin films in TMAH or BHF solutions, it follows that the native oxide (1–2 nm thick) on the deposited boron films is removed rapidly from the films upon immersion in these etchants. As can be seen in Table 2, none of the investigated boron films is etching in TMAH or BHF, except for the NPCVD boron film. We believe that an increased oxygen concentration to approximately 3 at%, as in the NPCVD boron layer, leads to etching of such film in TMAH (ca. 0.1 nm/min) and BHF (ca. 25 nm/min). In contrast, the APCVD film, with over 6 at% of Si, does not etch upon exposure to TMAH for long etch times (>5 h). This is in agreement with boron doped silicon etch stops; although such etch stops have significant lower percentages of boron compared to the APCVD film [13,62].
A chemical resistance of the boron film is needed in case it is utilized as a hard mask (or structural material/layer) for selective wet etching of silicon, silicon nitride or silicon dioxide. Based on our findings only the NPCVD film seems to be unsuitable for this application due to its low chemical resistance.

3.7. Amount of Defects in the Films

Besides that a hard mask or structural layer composed of boron should not etch in specific etch solutions (in order to protect the underlying material), the boron film also has to be continuous, i.e., without any defects, such as pinholes or clusters containing (or composed of) dissolvable or etchable material. To qualify this aspect of the various boron films, DF microscopy is used for the detection of defects in size well below the diffraction limit of the maximum magnification (DF microscope with 100× objective). In practice, the size-detection limit of the defects was found to be around 100 nm in diameter, which was confirmed by scanning electron microscopy. It is noted, however, that defect levels are known to be largely determined by the general cleanliness conditions of the local process environment.
Although every film contained some defects, not every defect turned into a pinhole, allowing for access to the material below the film. In more detail, a particle transferred onto the surface can be encapsulated and become a part of the final film composite. This encapsulation is considered to be different for PVD compared to CVD. This is proven by our chemical resistivity experiment, where the boron film is exposed to TMAH for 5 h. The silicon underneath a pinhole is etched, which makes detection of these defects convenient by their size (since these defects become larger in size than the pinhole width) and their characteristic inverted pyramidal shape. The difference in encapsulation is considered to be the effect of the PVD line-of-sight site phenomenon, in which particles will not be fully enclosed and remain exposed to the environment after the deposition. This in contrast to CVD, in which every surface is potentially coated, including present particles, resulting in a full encapsulation, even if the size of the particle ranges beyond the thickness of the film.
The highest number of defects is found in the evaporated boron films, locally up to 100 per mm2 (see Table 2), where sputtered films contain ca. 15–50 defects per mm2. The relative high number of defects in these films was expected, since in general PVD systems contain moveable mechanical parts (such as a transport arm, rotating sample stage and shutter(s)). These delaminated “flakes” can shatter into numerous small particles, which tend to be attracted by electrostatic forces towards the substrate.
For CVD-based boron films, the majority of the defects are not expected to be of mechanical origin. Yet particles could originate from the deposition chamber wall from build-up of earlier coatings, especially if different materials with a relatively high intrinsic stress are deposited in a single chamber. Alternatively, film defects can be the result of chemical interactions, viz. boron reacting with residual oxygen in the chamber before absorbing on the silicon substrate. This is supported by the correlation between the amount of defects with the deposition rate: the lower the deposition rate, the larger the amount of defects in CVD-based boron films (Table 2). More specific, the relative high background pressure and low deposition rate results in an increased probability of oxygen species to be incorporated into the boron film.
In summary, a first, local inventory of boron thin films realized by a number of chemical and physical deposition techniques has been made for its use in and suitability for micro-fabrication technology. We characterized their chemical resistance to conventional etchants for silicon, silicon nitride and silicon oxide, as well as absence of pinholes/defects. Except for APCVD boron films, all investigated PVD and CVD boron films possessed this etch inertness, but only the PECVD film had a very low amount of defects. This motivates a further study of the PECVD system (i.e., the precursor flow, pressure, plasma power and temperature) on the boron film, with the aim to improve several film properties, i.e., deposition rate, film thickness uniformity and stress.

3.8. Influence of PECVD Parameters on Boron Film Properties

For thin PECVD boron layers a series of more detailed depositions was performed by varying flow, plasma power, deposition temperature and pressure. The used settings for precursor flow, pressure, plasma power and temperature were chosen within the range of the local PECVD system and are listed in Table 1. The deposited films are studied for intrinsic stress and growth properties.
As can be seen in Figure 2, the intrinsic stress of PECVD boron films is affected primarily by the deposition temperature and, to a lesser extent, the RF power. For a deposition temperature of 300 °C a compressive film is obtained, whereas at a deposition temperature of 350 °C, the film has a low or nearly-zero stress. More specific, at 350 °C and at high plasma power (i.e., 120 to 240 W) the films are slightly compressive, whereas a low power (i.e., 60 W and below) in combination with a chamber pressure of 1800 mTorr yields slightly tensile films. For deposition at 400 °C the films exhibit a tensile stress with values in the range 0.18 to 0.42 GPa. Whereas the intrinsic stress increases with deposition temperature, the stress reduces for increasing plasma power. As can be seen, the PECVD boron films deposited at 400 °C are tensile for the investigated RF power range, the films deposited at 350 °C experience a stress transition (from tensile to compressive) and the films deposited at 300 °C are compressive. This difference in intrinsic stress can be explored to mediate multilayer film stress, as well as to tune the behavior of cantilever structures (or free-standing films) in microsensors and microactuators for optical and electrical applications.
In order to better understand the influence of the main deposition parameters (plasma power, pressure and flow rate) in the boron PECVD process, the Arrhenius activation energies are calculated by using the temperature dependent deposition rate in the range of 300–400 °C. For the diborane precursor in an inert carrier gas (N2 or Ar), the boron deposition is mainly controlled by the heterogeneous reaction of the decomposed precursor (B2H6(g) → BH3(g)) with free Si or B surface sites (BH3(g) + Si(s) or B(s)) [63,64]. Therefore, the found activation energies are associated with this reaction.
In general, for PECVD the plasma is known to reduce the activation energy compared to thermal CVD [65]. This takes place by adding energy to vibrational excitations of the diborane precursor molecules [66]. The higher the reactor plasma power, the higher the plasma ion density, and effectively more energy is supplied for activation of the precursor [67]. As a consequence, for higher reactor plasma powers a lower effective activation energy is expected. However, this effect of reduction in activation energy will saturate at higher plasma powers, since the ion density then saturates. This effect is confirmed by our data shown Figure 3: at first the activation energy decreases rapidly because of molecule activation via the plasma, followed by saturation for increasing plasma powers because of the saturating plasma ion density.
Generally, in plasma systems an increasing pressure leads to a lower plasma ion density, which causes effectively less energy to be supplied to the precursor molecules [67,68]. Based on this, the effective activation energy is expected to increase for increasing pressures. This trend is confirmed by our data shown in Figure 4.
Lastly, the effective activation energy is determined as a function of the flow rate: a proportional decrease of activation energy for increasing flow rates is found (data not shown). This can be explained by the increased gas convection that occurs for increased flow rates, which causes more activated precursor species to arrive at the substrate [69].
The determined effective activation energies can be compared with the literature values. Mohammadi et al. report an activation energy of 2.1 kcal/mol for boron deposition using an inert carrier gas (i.e., N2 for this reported value and Ar for our experiments) [30], which is significantly lower than the values which we determined. The difference can be explained by the fact that the diborane partial pressure as used in our work (50–90 mTorr) is one order of magnitude higher compared to the reported values, and higher pressures cause an increased effective activation energy (see also Figure 4).

3.9. Use of PECVD Boron Films As Mask Layer/Structural Material in Micro-Machining

In this section we demonstrate the potential of PECVD boron thin films for surface and bulk micro-machining, as also recently reported by Liu [70]. A PECVD boron thin film was deposited on a 100 mm diameter silicon wafer (as described in Section 2) at 350 °C, 1800 mTorr, 50 sccm B2H6 (5% in Ar) and 60 W RF. On this film positive photoresist (Olin 907/17) was spin coated, baked and exposed by UV light through a photomask containing lines of different widths (1–10 µm). Afterwards, the photoresist was developed (OPD 4262) and transferred into a plasma etch system (Alcatel-Adixen AMS100DE DRIE Etcher, Alcatel Vacuum Technology, Annecy, France). The boron film was dry etched for 135 sec (so an effective etch rate of approximately 25 nm/min) using 100 sccm SF6, 20 sccm Ar, 250 W ICP, 25 W CCP, 1 × 10−2 mbar. Ellipsometry was used to confirm the complete removal of the boron at the exposed locations. Following this step, the sample was immersed in a BHF bath for 1 min to remove the native SiO2, followed by stripping of the photoresist with acetone. This was followed by immersion in TMAH (25 wt.% at 90 °C) for 3 min, resulting in anisotropic etching of the exposed silicon using the patterned boron film as a hard mask layer. The result was trenches confined by <111> crystalline silicon planes, which extend underneath the boron film (Figure 5). Careful inspection of Figure 5b reveals that directly underneath the boron thin film a different etch crystal plane is visible, i.e., different from the expected <111> crystalline silicon plane. This plane is related to the dry etch step (used for patterning the boron film), during which also slight etching of the silicon substrate occurred, yielding a trench with vertical side walls. Anisotropic wet etching starts from this trench and its vertical walls give rise to a wet-etched groove with the shown non-<111> planes near the opening in the boron film hard mask [71].
The results described in this work demonstrate that PECVD boron films can be patterned with UV-lithography, dry plasma etching, wet etching (BHF) and the structured film can subsequently be used as a hard mask in an alkaline etch solution by means of which the underlying silicon substrate is selectively structured. These results hold promise for novel surface and bulk micromachining applications, and—upon proper embedding in fabrication processes—PECVD boron thin films may find their use as structural material, hard mask, sacrificial layer, functional film mask and/or boron source in (the realization of) applications such as cantilevers [72], hinges [73], pellicles [41], membranes [74], 3D micro electrode arrays [75], MEMS-based structures [76,77], crystalline silicon nanowires [78,79] or p/n junctions [80,81].

4. Conclusions

An overview is given of various properties of thin boron films using different deposition methods. The investigated methods are magnetron sputtering, electron-beam evaporation, plasma enhanced chemical vapor deposition (CVD), non-plasma CVD, remote plasma CVD and atmospheric pressure CVD. The boron films realized show a wide variety in their deposition rate and uniformity, film roughness, intrinsic stress, elemental composition, number of defects and resistance to conventional wet etchants commonly applied for micro-fabrication. Boron thin films realized by PECVD showed the lowest number of defects. The intrinsic stress of the PECVD film is moderate when compared to RPCVD and magnetron sputtered films. Moreover, its intrinsic stress is tunable from compressive to tensile by means of the deposition temperature (as well as post deposition annealing in N2). Besides the deposition temperature, the pressure, plasma power and reactant flow are found to influence the film formation, deposition rate, thickness uniformity and intrinsic stress. Optimized PECVD settings are applied to demonstrate the properties of a boron film in an exemplary application as an etch masking layer in microfabrication: a PECVD boron film is selectively dry-etched after lithography, followed by anisotropic etching of the underlying silicon substrate by TMAH.

Author Contributions

Conceptualization, B.S. and W.T.E.v.d.B.; methodology, B.S. and W.T.E.v.d.B.; validation, B.S. and W.T.E.v.d.B.; formal analysis, B.S., W.T.E.v.d.B. and R.M.T.; investigation, B.S. and W.T.E.v.d.B.; data curation, B.S., W.T.E.v.d.B. and R.M.T.; writing—original draft preparation, B.S., W.T.E.v.d.B. and R.M.T.; writing—review and editing, B.S., W.T.E.v.d.B., R.M.T., R.W.E.v.d.K. and F.B.; supervision, R.W.E.v.d.K. and F.B.; funding acquisition, R.W.E.v.d.K. and F.B. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Netherlands Organization for Scientific Research (NWO), in the frame of the Top Sector High Tech Systems and Materials program, grant number 15357.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available in this manuscript.

Acknowledgments

We thank our colleagues of the XUV Optics group and MESA+ NanoLab cleanroom for their support in realizing the boron thin films and access to measurement equipment.

Conflicts of Interest

The authors declare no conflict of interest.

Appendix A

In this appendix, details of the CVD systems used for the deposition of boron thin films are given.
PECVD of boron was performed in an Oxford 133 Plasmalab system (Oxford Instruments, Yatton, UK) at a temperature of 350 °C using a 50 sccm diluted diborane (B2H6; 5% in Ar) flow with a top electrode radio frequency (RF) power of 60 W and a deposition pressure of 1800 mTorr. A second set of PECVD depositions was performed using B2H6 flows of 25, 50 and 75 sccm at pressures of 1000, 1400 or 1800 mTorr, respectively, and deposition temperatures of 300, 350 and 400 °C. Plasma powers for this set were in the range of 0–240 W and 100–400 W in combination with low frequency (LF) or RF, respectively. Combined LF and RF depositions were performed in sets of either 30 or 240 W LF with 100, 200 or 400 W RF. Data from the first set of PECVD films were used to achieve film thicknesses of approximately 50 nm thickness. This particular thickness was chosen to ensure a closed and continuous film, which is compatible with the used analysis methods and it represents a realistic thickness used in practice (for processing or application).
The Oxford 133 Plasmalab system was also used for the NPCVD depositions of boron, using 100 sccm diluted B2H6 (5% in Ar) at 210 mTorr and 350 °C (it is noted that the RF power source is not used during NPCVD).
Remote plasma atomic layer deposition (ALD), a way of RPCVD, of boron was performed in a Picosun R-200 remote-plasma reactor (Picosun, Espoo, Finland) from B2H6 precursor (200 sccm, 0.5% in Ar) in a 150 sccm Ar carrier flow. A plasma power of 2 kW, a process temperature of 450 °C, and reactor pressure of 1 mbar were maintained.
For APCVD boron deposition, an Epsilon 2000 deposition system (ASM International, Almere, The Netherlands) was used. Deposition on a rotating substrate (490 rpm) was performed at 700 °C at 1 atmosphere pressure using B2H6 as precursor at a concentration of 0.2% (in H2). Prior to boron APCVD deposition, any remaining native SiO2 was removed from the substrate with an in situ thermal cleaning step of 30 min in a H2 ambient at 900 °C.

Appendix B

In this appendix, details of the PVD systems used for the deposition of boron thin films are given.
For sputtering of boron films, an Advanced Development Coater (ADC) magnetron sputter deposition system (Leybold, Cologne, Germany) was used. DC-magnetron sputtering was done at room temperature from a 4-inch-diameter tiled B target (>98% purity, Kurt J. Lesker Company, Jefferson Hills, PA, USA) in argon (Ar) atmosphere (30 sccm) at a pressure of 0.6 mTorr (chamber base pressure of 7.5 × 10−6 mTorr). The rotation speed was set to 10 rpm, the target-substrate distance was 35 mm and the DC power used was 500 W.
Electron-beam evaporation of boron films was performed on a Balzers BAK 600 (Oerlikon-Balzers, Pfäffikon, Switzerland) system. A rotating carrousel at a distance 50 cm away from the crucible contained eight substrates. The crucible was filled with 3–8 mm diameter pieces of crystalline boron target material. The chamber base pressure was 7.6 × 10−6 mTorr, the deposition pressure 2.4 × 10−4 mTorr, the applied high voltage 10 kV and the measured current 72 mA, respectively.

Appendix C

The figure (Figure A1) in this appendix shows an XRD-spectrum of a boron film realized by remote plasma CVD: the film is clearly amorphous.
Figure A1. Typical XRD-spectrum of a boron thin film realized by RPCVD.
Figure A1. Typical XRD-spectrum of a boron thin film realized by RPCVD.
Coatings 12 00685 g0a1

Appendix D

The figure (Figure A2) in this appendix shows representative images of AFM-scan on boron films realized magnetron sputtering, non-plasma CVD and remote plasma CVD.
Figure A2. Characteristic AFM images (including RMS (Rq) roughness numbers) of boron thin films realized by (a) magnetron sputtering, (b) non-plasma CVD, (c) remote plasma CVD.
Figure A2. Characteristic AFM images (including RMS (Rq) roughness numbers) of boron thin films realized by (a) magnetron sputtering, (b) non-plasma CVD, (c) remote plasma CVD.
Coatings 12 00685 g0a2

References

  1. Morin, F.J.; Maita, J.P. Electrical properties of silicon containing arsenic and boron. Phys. Rev. 1954, 96, 28–35. [Google Scholar] [CrossRef]
  2. Kohiko, S.; Nishitani, M.; Wada, T. Enhanced electrical conductivity of zinc oxide thin films by ion implantation of gallium, aluminum, and boron atoms. J. Appl. Phys. 1994, 75, 2069–2072. [Google Scholar] [CrossRef]
  3. Wilson, N.R.; Clewes, S.L.; Newton, M.E.; Uwin, P.R.; Macpherson, J.V. Impact of grain-dependent boron uptake on the electrochemical and electrical properties of polycrystalline boron doped diamond electrodes. J. Phys. Chem. B 2006, 110, 5639–5646. [Google Scholar] [CrossRef] [PubMed]
  4. Cui, Y.; Duan, X.; Hu, J.; Lieber, C.M. Doping and electrical transport in silicon nanowires. J. Phys. Chem. B 2000, 104, 5213–5216. [Google Scholar] [CrossRef]
  5. Fujisawa, K.; Hayashi, T.; Endo, M.; Terrones, M.; Kime, J.H.; Kim, Y.A. Effect of boron doping on the electrical conductivity of metallicity-separated single walled carbon nanotubes. Nanoscale 2018, 10, 12723–12733. [Google Scholar] [CrossRef] [PubMed]
  6. Delerue, C. Electronic structure of Si nanocrystals codoped with boron and phosphorus. Phys. Rev. B 2018, 98, 045434. [Google Scholar] [CrossRef]
  7. Shin, C.; Pham, D.P.; Park, J.; Kim, S.; Lee, Y.J.; Yi, J. Structure and electrical properties of boron doped hydrogenated mixed-phase silicon films for uncooled microbolometer. Infrared Phys. Technol. 2019, 96, 84–88. [Google Scholar] [CrossRef]
  8. Gao, X.; Kolevatov, I.; Chen, K.X.; Guan, B.; Mesli, A.; Monakhov, E.; Dan, Y.P. Full activation of boron in silicon doped by self-assembled molecular monolayers. ACS Appl. Electron. Mater. 2019, 2, 268–274. [Google Scholar] [CrossRef]
  9. Wei, J.J.; Li, C.M.; Gao, X.M.; Hei, L.F.; Lvun, F.X. The influence of boron doping level on quality and stability of diamond film on Ti substrate. Appl. Surf. Sci. 2012, 258, 6909–6913. [Google Scholar] [CrossRef]
  10. Bettinelli, M.; Dallacasa, V.; Falcomer, D.; Fornasiero, P.; Gombac, V.; Montini, T.; Romanò, L.; Speghini, A. Photocatalytic activity of TiO2 doped with boron and vanadium. J. Hazard. Mater. 2007, 146, 529–534. [Google Scholar] [CrossRef]
  11. Bogdanowicz, R.; Fabiańska, A.; Golunski, L.; Sobaczek, M.; Gnyba, M.; Ryl, J.; Darowicki, K.; Ossowski, T.; Janssens, S.D.; Haenen, K.; et al. Influence of the boron doping level on the electrochemical oxidation of the azo dyes at Si/BDD thin film electrodes. Diam. Relat. Mater. 2013, 39, 82–88. [Google Scholar] [CrossRef]
  12. Zhao, S.; Yue, H.; Zhao, Y.; Wang, B.; Geng, Y.; Lv, J.; Wang, S.; Gong, J.; Ma, X. Chemoselective synthesis of ethanol via hydrogenation of dimethyl oxalate on Cu/SiO2: Enhanced stability with boron dopant. J. Catal. 2013, 297, 142–150. [Google Scholar] [CrossRef]
  13. Steinsland, E.; Nese, M.; Hanneborg, A.; Bernstein, R.W.; Sandmo, H.; Kittisland, G. Boron etch-stop in TMAH solutions. Sens. Actuators A 1996, 54, 728–732. [Google Scholar] [CrossRef]
  14. Wang, Y.; Jia, K.; Pan, Q.; Xu, X.D.; Liu, Q.; Cui, G.W.; Guo, X.D.; Sun, X.P. Boron-doped TiO2 for efficient electrocatalytic N2 fixation to NH3 at ambient conditions. ACS Sustain. Chem. Eng. 2018, 7, 117–122. [Google Scholar] [CrossRef]
  15. Ha, S.; Choi, G.B.; Hong, S.; Kim, D.W.; Kim, Y.A. Substitutional boron doping of carbon materials. Carbon Lett. 2018, 27, 1–11. [Google Scholar]
  16. Nankya, R.; Lee, J.; Opar, D.O.; Jung, H. Electrochemical behavior of boron-doped mesoporous graphene depending on its boron configuration. Appl. Surf. Sci. 2019, 489, 552–559. [Google Scholar] [CrossRef]
  17. Chen, Y.; Gao, X.; Liu, G.; Zhu, R.; Yang, W.; Li, Z.; Liu, F.; Zhou, K.; Yu, Z.; Wei, Q.; et al. Correlation of the role of boron concentration on the microstructure and electrochemical properties of diamond electrodes. Funct. Diam. 2022, 1, 197–204. [Google Scholar] [CrossRef]
  18. Kumar, V.; Singh, R.G.; Purohit, L.P.; Mehra, R.M. Structural, transport and optical properties of boron-doped zinc oxide nanocrystalline. J. Mater. Sci. Technol. 2011, 27, 481–488. [Google Scholar] [CrossRef]
  19. Aydin, H.; Mansour, S.A.; Aydin, C.; Al-Ghamdi, A.A.; Al-Hartomy, O.A.; El-Tantawy, F.; Yakuphanoglu, F. Optical properties of nanostructure boron doped NiO thin films. J. Solgel Sci. Technol. 2012, 64, 728–733. [Google Scholar] [CrossRef]
  20. Challa, K.K.; Magnone, E.; Kim, E.-T. Highly photosensitive properties of CdS thin films doped with boron in high doping levels. Mater. Lett. 2012, 85, 135–137. [Google Scholar] [CrossRef]
  21. Ahmed, N.Y.; Bader, B.A.; Slewa, M.Y.; Habubi, N.F.; Chiad, S.S. Effect of boron on structural, optical characterization of nanostructured Fe2O3 thin films. NeuroQuantology 2020, 18, 55–60. [Google Scholar] [CrossRef]
  22. Eskalen, H.; Kavun, Y.; Kerli, S.; Eken, S. An investigation of radiation shielding properties of boron doped ZnO thin films. Opt. Mater. 2020, 105, 109871. [Google Scholar] [CrossRef]
  23. Berenschot, J.W.; Gardeniers, J.G.E.; Lammerink, T.S.J.; Elwenspoek, M. New applications of r.f.-sputtered glass films as protection and bonding layers in silicon micromachining. Sens. Actuators A 1994, 41, 338–343. [Google Scholar] [CrossRef] [Green Version]
  24. Tong, H.D.; Van den Berg, A.H.J.; Gardeniers, J.G.E.; Jansen, H.V.; Gielens, F.C.; Elwenspoek, M.C. Preparation of palladium-silver alloy films by a dual-sputtering technique and its application in hydrogen separation membrane. Thin Solid Films 2005, 479, 89–94. [Google Scholar] [CrossRef]
  25. Van Emmerik, C.I.; Hendriks, W.A.P.M.; Stok, M.M.; De Goede, M.; Chang, L.T.; Dijkstra, M.; Segerink, F.; Post, D.; Keim, E.G.; Dikkers, M.J.; et al. Relative oxidation state of the target as guideline for depositing optical quality RF reactive magnetron sputtered Al2O3 layers. Opt. Mater. Express 2020, 10, 1451–1462. [Google Scholar] [CrossRef]
  26. Thammaiah, S.D.; Liu, X.; Knezevic, T.; Batenburg, K.M.; Aarnink, A.A.I.; Nanver, L.K. PureB diode fabrication using physical or chemical vapor deposition methods for increased back-end-of-line accessibility. Solid State Electron. 2021, 177, 107938. [Google Scholar] [CrossRef]
  27. Wörhoff, K.; Driessen, A.; Lambeck, P.V.; Hilderink, L.T.H.; Linders, P.W.C.; Popma, T.J.A. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics. Sens. Actuators A 1999, 74, 9–12. [Google Scholar] [CrossRef]
  28. Hussein, M.G.; Wörhoff, K.; Sengo, G.; Driessen, A. Optimization of plasma-enhanced chemical vapor deposition silicon oxynitride layers for integrated optics applications. Thin Solid Films 2007, 515, 3779–3786. [Google Scholar] [CrossRef] [Green Version]
  29. Rangarajan, B.; Kovalgin, A.Y.; Schmitz, J. Deposition and properties of silicon oxynitride films with low propagation losses by inductively coupled PECVD at 150 °C. Surf. Coat. Technol. 2013, 230, 46–50. [Google Scholar] [CrossRef]
  30. Mohammadi, V.; De Boer, W.B.; Nanver, L.K. Temperature dependence of chemical-vapor deposition of pure boron layers from diborane. Appl. Phys. Lett. 2012, 101, 111906. [Google Scholar] [CrossRef] [Green Version]
  31. Gardeniers, J.G.E.; Tilmans, H.A.C.; Visser, C.C.G. LPCVD silicon-rich silicon nitride films for applications in micromechanics, studied with statistical experimental design. J. Vac. Sci. Technol. A 1996, 14, 2879–2892. [Google Scholar] [CrossRef] [Green Version]
  32. Kovalgin, A.; Holleman, J. Low-temperature LPCVD of polycrystalline GexSi1-x films with high germanium content. J. Electrochem. Soc. 2006, 153, G363–G371. [Google Scholar] [CrossRef]
  33. Nguyen, M.D.; Nazeer, H.; Karakaya, K.; Pham, S.V.; Steenwelle, R.; Dekkers, M.; Abelmann, L.; Blank, D.H.A.; Rijnders, G. Characterization of epitaxial Pb(Zr,Ti)O3 thin films deposited by pulsed laser deposition on silicon cantilevers. J. Micromech. Microeng. 2010, 20, 085022. [Google Scholar] [CrossRef]
  34. Boota, M.; Houwman, E.P.; Nguyen, M.D.; Lanzara, G.; Rijnders, G. Effect of fabrication conditions on phase formation and properties of epitaxial (PbMg1/3Nb2/3O3)0.67-(PbTiO3)0.33 thin films on (001) SrTiO3. AIP Adv. 2016, 6, 055303. [Google Scholar] [CrossRef] [Green Version]
  35. Nguyen, M.D.; Tiggelaar, R.; Aukes, T.; Rijnders, G.; Roelofs, G. Wafer-scale growth of highly textured piezoelectric thin films by pulsed laser deposition for micro-scale sensors and actuators. J. Phys. Conf. Ser. 2017, 922, 012022. [Google Scholar] [CrossRef]
  36. Van Bui, H.; Wiggers, F.B.; Gupta, A.; Nguyen, M.D.; Aarnink, A.A.I.; De Jong, M.P.; Kovalgin, A.Y. Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films. J. Vac. Sci. Technol. A 2015, 33, 01A111. [Google Scholar] [CrossRef]
  37. Banerjee, S.; Aarnink, A.A.I.; Van de Kruijs, R.; Kovalgin, A.Y.; Schmitz, J. PEALD AlN: Controlling growth and film crystallinity. Phys. Status Solidi C 2015, 12, 1036–1042. [Google Scholar] [CrossRef]
  38. Yang, M.D.; Aarnink, A.A.I.; Kovalgin, A.Y.; Gravesteijn, D.J.; Wolters, R.A.M.; Schmitz, J. Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor. J. Vac. Sci. Technol. A 2016, 34, 01A129. [Google Scholar] [CrossRef] [Green Version]
  39. Banerjee, S.; Aarnink, A.A.I.; Gravesteijn, D.J.; Kovalgin, A.Y. Thermal atomic layer deposition of polycrystalline gallium nitride. J. Phys. Chem. C 2019, 123, 23214–23225. [Google Scholar] [CrossRef] [Green Version]
  40. Kraft, O.; Volkert, C.A. Mechanical testing of thin films and small structures. Adv. Eng. Mater. 2001, 3, 99–110. [Google Scholar] [CrossRef]
  41. Shafikov, A.; Schurink, B.; Van de Kruijs, R.W.E.; Benschop, J.; Van den Beld, W.T.E.; Houweling, Z.S.; Bijkerk, F. Strengthening ultrathin Si3N4 membranes by compressive surface stress. Sens. Actuators A 2021, 317, 112456. [Google Scholar] [CrossRef]
  42. Wright, J.T.; Carbaugh, D.J.; Haggerty, M.E.; Richard, A.L.; Ingram, D.C.; Kaya, S.; Jadwisienczak, W.M.; Rahman, F. Thermal oxidation of silicon in a residual oxygen atmosphere—The RESOX process—For self-limiting growth of thin silicon dioxide films. Semicond. Sci. Technol. 2016, 31, 105007. [Google Scholar] [CrossRef] [Green Version]
  43. Lee, S.H.; So, M.G. Effects of deposition temperature and pressure of the surface roughness and the grain size of polycrystalline Si1-xGex films. J. Mater. Sci. 2000, 35, 4789–4794. [Google Scholar] [CrossRef]
  44. Semaltianos, N.G. Thermally evaporated aluminium thin films. Appl. Surf. Sci. 2001, 183, 223–229. [Google Scholar] [CrossRef]
  45. Sarubbi, F.; Scholtes, T.L.M.; Nanver, L.K. Chemical vapor deposition of α-boron layers on silicon for controlled nanometer-deep p+n junction formation. J. Electron. Mater. 2009, 39, 162–173. [Google Scholar] [CrossRef] [Green Version]
  46. Windischmann, H. Intrinsic stress in sputtered thin films. J. Vac. Sci. Technol. A 1991, 9, 2431–2436. [Google Scholar] [CrossRef]
  47. Manova, D.; Gerlach, J.W.; Mändl, S. Thin film deposition using energetic ions. Materials 2010, 3, 4109. [Google Scholar] [CrossRef]
  48. Robertson, J. Amorphous carbon. Curr. Opin. Solid State Mater. Sci. 1996, 1, 557–561. [Google Scholar] [CrossRef]
  49. Robertson, J. Diamond-like amorphous carbon. Mater. Sci. Eng. R Rep. 2002, 37, 129–281. [Google Scholar] [CrossRef] [Green Version]
  50. Davis, C.A. A simple model for the formation of compressive stress in the thin films by ion bombardment. Thin Solid Films 1993, 226, 30–34. [Google Scholar] [CrossRef]
  51. Koch, R. Stress in evaporated and sputtered thin films—A comparison. Surf. Coat. Technol. 2010, 204, 1973–1982. [Google Scholar] [CrossRef]
  52. Mayr, S.G.; Samwer, K. Model for intrinsic stress formation in amorphous thin films. Phys. Rev. Lett. 2001, 87, 036105. [Google Scholar] [CrossRef] [PubMed]
  53. Nishizawa, J.; Aoki, K.; Akamine, T. Ultrashallow, high doping of boron using molecular layer doping. Appl. Phys. Lett. 1990, 56, 1334–1335. [Google Scholar] [CrossRef]
  54. Tseng, H.-C. Characterization of boron silicide layer deposited by ultrahigh-vacuum chemical-vapor deposition. J. Appl. Phys. 1996, 80, 5377–5383. [Google Scholar] [CrossRef]
  55. Saitoh, N.; Akamine, T.; Aoki, K.A.K.; Kojima, Y.K.Y. Composition and growth mechanism of a boron layer formed using the molecular layer doping process. Jpn. J. Appl. Phys. 1993, 32, 4404–4407. [Google Scholar] [CrossRef]
  56. Abadias, G.; Chason, E.; Keckes, J.; Sebastiani, M.; Thompson, G.B.; Barthel, E.; Doll, G.L.; Murray, C.E.; Stoessel, C.H.; Martinu, L. Review article: Stress in thin films and coatings: Current status, challenges, and prospects. J. Vac. Sci. Technol. A 2018, 36, 020801. [Google Scholar] [CrossRef] [Green Version]
  57. Fitz, C.; Kolitsch, A.; Fukarek, W. Stress relaxation during annealing of boron nitride films. Thin Solid Films 2001, 389, 173–179. [Google Scholar] [CrossRef]
  58. Shugurov, A.R.; Panin, A.V. Mechanisms of stress generation in thin films and coatings. Tech. Phys. 2020, 65, 1881–1904. [Google Scholar] [CrossRef]
  59. Zhou, X.; Yu, X.; Jacobson, D.; Thompson, G.B. A molecular dynamics study on stress generation during thin film growth. Appl. Surf. Sci. 2019, 469, 537–552. [Google Scholar] [CrossRef]
  60. Komatsu, S.; Moriyoshi, Y. Transition from amorphous to crystal growth of boron films in plasma-enhanced chemical vapor deposition with B2H6+He. J. Appl. Phys. 1989, 66, 466–469. [Google Scholar] [CrossRef]
  61. Hughey, M.P.; Cook, R.F. Hydrogen diffusion as the rate-limiting mechanism of stress development in dielectric films. Appl. Phys. Lett. 2004, 85, 404–406. [Google Scholar] [CrossRef]
  62. Palik, E.D.; Bermudez, V.M.; Glembocki, O.J. Ellipsometric study of the etch-stop mechanism in heavily doped silicon. J. Electrochem. Soc. 1985, 132, 135–141. [Google Scholar] [CrossRef]
  63. Mohammadi, V.; Nihtianov, S. Low temperature, 400 °C, pure boron deposition: A solution for integration of high-performance Si photodetectors and CMOS circuits. In Proceedings of the IEEE Sensors, Busan, Korea, 1–4 November 2005. [Google Scholar]
  64. Mohammadi, V.; De Boer, W.B.; Nanver, L.K. An analytical kinetic model for chemical-vapor deposition of pureB layers from diborane. J. Appl. Phys. 2012, 112, 113501. [Google Scholar] [CrossRef]
  65. Hofmann, S.; Ducati, C.; Robertson, J. Low-temperature growth of carbon nanotubes by plasma-enhanced chemical vapor deposition. Appl. Phys. Lett. 2003, 83, 135–137. [Google Scholar] [CrossRef]
  66. Rockett, A. Chemical Vapor Deposition. In The Materials Science of Semiconductors; Springer: Boston, MA, USA, 2008; pp. 563–609. [Google Scholar]
  67. Hanyaloglu, B.; Aydil, E.S. Low temperature plasma deposition of silicon nitride from silane and nitrogen plasmas. J. Vac. Sci. Technol. A 1998, 16, 2794–2803. [Google Scholar] [CrossRef]
  68. Gomez, S.; Belen, R.J.; Kiehlbauch, M.; Aydil, E.S. Etching of high aspect ratio structures in Si using SF6/O2 plasma. J. Vac. Sci. Technol. A 2004, 22, 606–615. [Google Scholar] [CrossRef]
  69. Kim, M.T. Calculation of apparent activation energy for the deposition of TEOS-SiO2 films by PECVD. Thin Solid Films 1999, 347, 112–116. [Google Scholar] [CrossRef]
  70. Liu, X. Low Temperature Pure Boron Layer Deposition for Silicon Diode and Micromachining Applications. Ph.D. Thesis, University of Twente, Enschede, The Netherlands, 8 October 2021. [Google Scholar]
  71. Gosálvez, M.A.; Ferrando, N.; Fedoryshyn, Y.; Leuthold, J.; McPeak, K.M. Evidence for faster etching at the mask-substrate interface: Atomistic simulation of complex cavities at the micron-/submicron-scale by the continuous cellar automation. J. Micromech. Microeng. 2016, 26, 045013. [Google Scholar] [CrossRef]
  72. Nazeer, H.; Woldering, L.A.; Abelmann, L.; Nguyen, M.D.; Rijnders, G.; Elwenspoek, M.C. Influence of silicon orientation and cantilever undercut on the determination of the Young’s modulus of thin films. Microelectron. Eng. 2011, 88, 2345–2348. [Google Scholar] [CrossRef]
  73. Legrain, A.; Berenschot, J.W.; Tas, N.R.; Abelmann, L. Capillary origami of micro-machined micro-objects: Bi-layer conductive hinges. Microelectron. Eng. 2015, 140, 60–66. [Google Scholar] [CrossRef] [Green Version]
  74. Liu, X.; Italiano, J.; Scott, R.; Nanver, L.K. Silicon micromachining with nanometer-thin boron masking and membrane material. Mater. Res. Express 2019, 6, 116438. [Google Scholar] [CrossRef]
  75. Schurink, B.; Tiggelaar, R.M.; Gardeniers, J.G.E.; Luttge, R. Fabrication and characterization of microsieve electrode array (µSEA) enabling cell positioning on 3D electrodes. J. Micromech. Microeng. 2017, 27, 015017. [Google Scholar] [CrossRef]
  76. Zhao, Y.; Veltkamp, H.-W.; Schut, T.V.P.; Sanders, R.G.P.; Breazu, B.; Groenesteijn, J.; De Boer, M.J.; Wiegerink, R.J.; Lötters, J.C. Heavily-doped bulk silicon sidewall electrodes embedded between free-hanging microfluidic channels by modified surface channel technology. Micromachines 2020, 11, 561. [Google Scholar] [CrossRef]
  77. Zhao, Y.; Janssens, Y.L.; Veltkamp, H.-W.; De Boer, M.J.; Groenesteijn, J.; Tas, N.R.; Wiegerink, R.J.; Lötters, J.C. Sacrificial grid release technology: A versatile release concept for MEMS structures. J. Micromech. Microeng. 2021, 31, 045013. [Google Scholar] [CrossRef]
  78. Chen, S.; Bomer, J.G.; Van der Wiel, W.G.; Carlen, E.T.; Van den Berg, A. Top-down fabrication of sub-30 nm monocrystalline silicon nanowires using conventional microfabrication. ACS Nano 2009, 3, 3485–3492. [Google Scholar] [CrossRef] [PubMed]
  79. Wilbers, J.G.E.; Berenschot, J.W.; Tiggelaar, R.M.; Dogan, T.; Sugimura, K.; Van der Wiel, W.G.; Gardeniers, J.G.E.; Tas, N.R. 3D-fabrication of tunable and high-density arrays of crystalline silicon nanostructures. J. Micromech. Microeng. 2018, 28, 044003. [Google Scholar] [CrossRef]
  80. Elbersen, R.; Tiggelaar, R.M.; Milbrat, A.; Mul, G.; Gardeniers, H.; Huskens, J. Controlled doping methods for radial p/n junctions in silicon. Adv. Energy Mater. 2015, 5, 1401745. [Google Scholar] [CrossRef] [Green Version]
  81. Milbrat, A.; Elbersen, R.; Kas, R.; Tiggelaar, R.M.; Gardeniers, H.; Mul, G.; Huskens, J. Spatioselective electrochemical and photoelectrochemical functionalization of silicon microwires with axial p/n junctions. Adv. Mater. 2016, 28, 1400–1405. [Google Scholar] [CrossRef]
Figure 2. Intrinsic stress in PECVD boron thin films deposited at different temperatures and RF plasma powers.
Figure 2. Intrinsic stress in PECVD boron thin films deposited at different temperatures and RF plasma powers.
Coatings 12 00685 g002
Figure 3. The effective activation energy for PECVD boron films as function of plasma power.
Figure 3. The effective activation energy for PECVD boron films as function of plasma power.
Coatings 12 00685 g003
Figure 4. The effective activation energy for PECVD boron films as function of reactor pressure.
Figure 4. The effective activation energy for PECVD boron films as function of reactor pressure.
Coatings 12 00685 g004
Figure 5. SEM images of a 52 nm thick boron PECVD film (350 °C, 60 W RF, 50 sccm B2H6 precursor, 1800 mTorr) used as hard mask for selective wet chemical etching of silicon: (a) SEM image showing 8 µm wide boron lines with a 26 µm pitch; the exposed silicon (Si) was etched by TMAH, resulting in trenches bound by <111> crystalline Si-planes (a red line is added as guide to the eye); (b) silicon was also etched underneath the boron film hard mask; the small angular deviation of the silicon <111> crystal planes directly underneath the boron film (indicated with a red arrow) is the result of unwanted plasma etching of silicon during structuring of the boron film with reactive ion etching.
Figure 5. SEM images of a 52 nm thick boron PECVD film (350 °C, 60 W RF, 50 sccm B2H6 precursor, 1800 mTorr) used as hard mask for selective wet chemical etching of silicon: (a) SEM image showing 8 µm wide boron lines with a 26 µm pitch; the exposed silicon (Si) was etched by TMAH, resulting in trenches bound by <111> crystalline Si-planes (a red line is added as guide to the eye); (b) silicon was also etched underneath the boron film hard mask; the small angular deviation of the silicon <111> crystal planes directly underneath the boron film (indicated with a red arrow) is the result of unwanted plasma etching of silicon during structuring of the boron film with reactive ion etching.
Coatings 12 00685 g005
Table 1. Settings of the boron deposition methods as used in this work, i.e., plasma enhanced CVD (PECVD), non-plasma CVD (NPCVD), remote plasma CVD (RPCVD), atmospheric pressure CVD (APCVD), magnetron sputtering (MS) and evaporation (Evap).
Table 1. Settings of the boron deposition methods as used in this work, i.e., plasma enhanced CVD (PECVD), non-plasma CVD (NPCVD), remote plasma CVD (RPCVD), atmospheric pressure CVD (APCVD), magnetron sputtering (MS) and evaporation (Evap).
CVD MethodsPVD Methods
PECVDNPCVDRPVCDAPCVDMSEvap
Set point substrate deposition
temperature [°C]
300, 350, 400350450700room temp.room temp.
Deposition
pressure [mTorr]
1000, 1400, 18002107507.5 × 1050.62.4 × 10−4
Precursor and flow [sccm]B2H6B2H6B2H6B2H6>98% B99.5%
(5% in Ar)(5% in Ar)(0.5% in Ar)(0.2% in H2)1–2% C3–8 mm
25, 50, 75100200490(30 sccm Ar)crystalline
(+150 sccm Ar carrier) B pieces
Source power [W]30, 100, 200, 240, 400 (RF)-2000
(remote)
-500
(1A (DC)
560–580 V)
-
(72 mA
10 kV)
Table 2. Characteristics of boron thin films realized in this work by various CVD and PVD methods, i.e., plasma enhanced CVD (PECVD), non-plasma CVD (NPCVD), remote plasma CVD (RPCVD), atmospheric pressure CVD (APCVD), magnetron sputtering (MS) and electron-beam evaporation (Evap). Shown data are obtained from the center of the wafer. The thickness non-uniformity is calculated as percentage from the boron thickness measured at the center of the substrate relative to the thickness at 40 mm from the center. The XPS atomic percentages indicate those at half-thickness of the layer (1: ‘No’ implies no loss in material thickness).
Table 2. Characteristics of boron thin films realized in this work by various CVD and PVD methods, i.e., plasma enhanced CVD (PECVD), non-plasma CVD (NPCVD), remote plasma CVD (RPCVD), atmospheric pressure CVD (APCVD), magnetron sputtering (MS) and electron-beam evaporation (Evap). Shown data are obtained from the center of the wafer. The thickness non-uniformity is calculated as percentage from the boron thickness measured at the center of the substrate relative to the thickness at 40 mm from the center. The XPS atomic percentages indicate those at half-thickness of the layer (1: ‘No’ implies no loss in material thickness).
CVD MethodsPVD Methods
PECVDNPCVDRPVCDAPCVDMSEvap
Thickness
(center) [nm]
47.435.134.118.231.252.8
Deposition rate [nm/min]14.40.69.00.32.78.3
Thickness
non-uniformity
19%58%20%<5%<2%11%
Roughness
RMS [nm]
1.01.31.60.40.20.2
Stress [GPa]−0.20.30.8−2.0−4.40.4
Stress post to 650 °C anneal [GPa]0.80.71.4−1.8−0.41.5
Elemental comp.
[at%]
B>9995>99929897
O<1>3<1<10.5>3
C<1<2<1<11.5<1
traces---Si (>6%)Ar, metalsSi, metals
Etch rate
[nm/min]
TMAHNo 10.1No 1No 1No 1No 1
BHFNo 125No 1No 1No 1No 1
Number of defects [#/mm2]0–55–105–1015–2015–5050–100
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Schurink, B.; van den Beld, W.T.E.; Tiggelaar, R.M.; van de Kruijs, R.W.E.; Bijkerk, F. Synthesis and Characterization of Boron Thin Films Using Chemical and Physical Vapor Depositions. Coatings 2022, 12, 685. https://doi.org/10.3390/coatings12050685

AMA Style

Schurink B, van den Beld WTE, Tiggelaar RM, van de Kruijs RWE, Bijkerk F. Synthesis and Characterization of Boron Thin Films Using Chemical and Physical Vapor Depositions. Coatings. 2022; 12(5):685. https://doi.org/10.3390/coatings12050685

Chicago/Turabian Style

Schurink, Bart, Wesley T. E. van den Beld, Roald M. Tiggelaar, Robbert W. E. van de Kruijs, and Fred Bijkerk. 2022. "Synthesis and Characterization of Boron Thin Films Using Chemical and Physical Vapor Depositions" Coatings 12, no. 5: 685. https://doi.org/10.3390/coatings12050685

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop