Next Article in Journal
Tailor-Made Fluorinated Ionic Liquids for Protein Delivery
Next Article in Special Issue
Dynamics and Applications of Photon-Nanostructured Systems
Previous Article in Journal
Gated Resonance Energy Transfer (gRET) Controlled by Programmed Death Protein Ligand 1
Previous Article in Special Issue
Entropy and Random Walk Trails Water Confinement and Non-Thermal Equilibrium in Photon-Induced Nanocavities
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results

by
Theodore Manouras
1,2,* and
Panagiotis Argitis
3,*
1
Department of Materials Science and Technology, University of Crete, 70013 Heraklion, Greece
2
Institute of Electronic Structure and Laser, Foundation for Research and Technology-Hellas, 70013 Heraklion, Greece
3
Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 15310 Athens, Greece
*
Authors to whom correspondence should be addressed.
Nanomaterials 2020, 10(8), 1593; https://doi.org/10.3390/nano10081593
Submission received: 6 July 2020 / Revised: 6 August 2020 / Accepted: 12 August 2020 / Published: 14 August 2020
(This article belongs to the Special Issue Dynamics and Applications of Photon-Nanostructured Systems)

Abstract

:
The need for decreasing semiconductor device critical dimensions at feature sizes below the 20 nm resolution limit has led the semiconductor industry to adopt extreme ultra violet (EUV) lithography with exposure at 13.5 nm as the main next generation lithographic technology. The broad consensus on this direction has triggered a dramatic increase of interest on resist materials of high sensitivity especially designed for use in the EUV spectral region in order to meet the strict requirements needed for overcoming the source brightness issues and securing the cost efficiency of the technology. To this direction both fundamental studies on the radiation induced chemistry in this spectral area and a plethora of new ideas targeting at the design of new highly sensitive and top performing resists have been proposed. Besides the traditional areas of acid-catalyzed chemically amplified resists and the resists based on polymer backbone breaking new unconventional ideas have been proposed based on the insertion of metal compounds or compounds of other highly absorbing at EUV atoms in the resist formulations. These last developments are reviewed here. Since the effort targets to a new understanding of electron-induced chemical reactions that dominate the resist performance in this region these last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.

Graphical Abstract

1. Introduction—Nanostructure Formation in Semiconductor Lithography

The standard methodology for fabricating miniaturized devices with critical dimensions in the micro- and nano- domain in the semiconductor industry has been photolithography, using photosensitive polymeric mainly materials, known as resists, for imaging, accompanied with pattern transfer to the substrate of interest with plasma etching. The uninterrupted patterning technology evolution from the 1960s to the last decade allowed the miniaturization of critical device dimensions from a few microns to the sub 25 nm domain allowing the semiconductor technology progress according to the well-known Moore’s law [1,2,3]. Although this impressive technology development has been mainly achieved by adopting reduced wavelength of the imaging radiation, in the last two decades additional technology breakthroughs such as immersion and double exposure patterning allowed the shrinkage of device dimensions, while keeping the wavelength at 193 nm [4]. In addition, options like directed self-assembly [5,6,7,8], e-beam lithography [9,10,11,12], maskless techniques [13,14], and nanoimprint technology [15,16] have been heavily explored. At this period, it seems that the implementation of exposure at reduced wavelength, and in particular at 13.5 nm, is the choice of the big semiconductor industries for the future device technology. Extreme ultraviolet (EUV) lithography using 13.5 nm wavelength exposure is expected to be the main industrial option for pushing further the resolution limit in sub 20 nm region. However, EUV sources have limited power making the improvement in resist sensitivity a high-importance issue to fulfill the throughput requirements for high volume manufacturing while maintaining pattern fidelity and uniformity.
Reviews on EUV resists have been published in 2017 summarizing important developments [17,18]. Nevertheless, dramatic changes are under way in the field after consensus in the semiconductor industry community has been reached to accept EUV as the main next generation lithographic option. New unconventional materials are introduced and fundamental studies are under way enabled by improvements in the scientific understanding of the EUV radiation interactions with the resist materials. In this context a very recent review [19], focuses especially on inorganic photoresists covering materials that have been introduced to address the challenges encountered in EUV lithography.
In the present review we will discuss primarily the main factors that influence the resist sensitivity at EUV and we will give priority to promising materials for achieving the high sensitivity demanded. We will focus mostly on the developments during the last years aiming at new generations of materials and on the scientific support from fundamental studies toward technology optimization. Following this approach we discuss first in two separate sections the main characteristics of EUV technology and the issues related to materials absorption at this spectral region and then we review the chemical approaches for high sensitivity EUV resists and the results reported so far on resist materials of advanced performance.

2. EUV Introduction and Main Technical Challenges

The critical dimension (CD) of a projection imaging system such as a lithographic scanner is given by the fundamental equation governing the resolution: CD = kλ/NA, where λ is the wavelength of the light source and NA is the numerical aperture of the imaging optics. The value of κ is depending on the quality of the imaging optics, the way the mask is illuminated, and the mask pattern itself. As it is obvious from the latter equation, the critical dimension value is proportional to the wavelength of the radiation applied to the photosensitive materials.
The choice of 13.5 nm, or EUV as it is commonly referred to, as the imaging radiation wavelength after the 193 nm has a long history of scientific investigations, technology breakthroughs and controversies that lasted more than two decades [20]. A review of the first years of EUV lithography has been presented in [21], where also the successful demonstration of printing for the first time 19 nm dense lines/spaces with optical lithography using an interferometric Lloyd’s mirror maskless set-up is discussed [22]. The current patterning challenges at EUV aiming at a viable industrial technology have been also presented in [21] and more recently in [23,24]. One attractive characteristic of the EUV radiation at 13.5 nm is that it can be combined with imaging technology based on reflective optics, a technology that has reached an acceptable maturity level. This imaging technology, based on multilayer mirrors composed of technologically acceptable materials, Mo and Si, allows imaging of the mask pattern to the wafer. The reflectivity of such multilayers is discussed for instance in [25], and a characteristic graph from this paper is depicted in Figure 1a, where also emission spectra of different alternative sources are given. This graph is also based on data from reference [26]. The reflective optics used in EUV technology is apparently more complicated than the refractive optics used at 193 nm and longer wavelengths, see for instance a scheme of a projection system depicted in Figure 1b, adopted by ref [17], which as stated there is based on a previous presentation [27]. In addition, new technological challenges in mask fabrication had to be addressed, but the technology nowadays has been proved capable for effective radiation imaging. The successful development of this imaging technology for 13.5 nm radiation has played a very important role for the choice of this particular wavelength for next generation lithography.
One very challenging issue for the development of industrially competitive EUV lithography technology has been the brightness of the sources that could be used for generation of radiation at 13.5 nm. Plasma discharge approaches and approaches based on laser induced radiation through focusing on specific material targets were explored by many groups toward the development of efficient sources. Today the approach of choice seems to be laser-induced radiation generation by focusing on liquid Sn droplets. Sources based on this approach have been incorporated in the commercial EUV lithography exposure tools available today, as is also shown in the scheme of Figure 1b. The brightness of these sources has been greatly improved over the past decade and played a significant role for the decision to adopt EUV lithography as the next production technology made by a number of big semiconductor manufacturers [28]. Nevertheless, the throughput of the exposure tool remains relatively low compared with older lithographic technologies and for this reason there is an urgent demand for high sensitivity resists that can help the technology to achieve the desired cost efficiency. In addition, we should always keep in mind that the EUV resists must also provide advanced performance characteristics to be suitable for sub 20 nm patterning with industrial standards, related primarily to pattern quality and process demands.
This demand for high sensitivity EUV resists caused a real awakening of the research in the resists field. At this point it should be emphasized that the research effort in the resist development area has been rather slow for a period of more than 10 years (schematically extending from about 2005 to 2015). Indeed, after the abandonment of the option for 157 nm lithography, which had provoked an intense resist development effort, the resist material research was not intense since the 193 nm resists were already mature materials and the modifications needed for immersion lithography were rather minor. Only few groups worldwide were active in the resist field and often the efforts were diverted in different directions. On the other hand a significant research direction for lithographic materials followed by many polymer groups was toward self-assembled block copolymers for directed self-assembling (DSA) lithography [1,2,3,4]. The momentum seems to have changed during the last five years after the choice of EUV as the next industrial technology became clear in the lithography community along with the now well-recognized demand for high sensitivity EUV resists.
In the following we will try to make clear why the demand for high sensitivity resists causes a mobilization of the lithography researchers and brings again the resist development effort at a spot of increased interest by many scientists or engineers new to the field.

3. Material Absorption at EUV

The absorption of resist materials at EUV is an issue that only lately has started to attract the attention it deserves [29,30]. From an historical point of view, it should be mentioned that the resist absorbance at EUV was first considered at late nineties and it was recognized that the absorption of resist materials at EUV is mainly determined by their atomic composition. Indeed, the photon energy at 13.5 nm is close to 92 eV which is well above the ionization potential of the atoms that constitute the resist material. The photoemission cross sections of different atoms that can be potentially included in a resist composition are shown in the graph below, Figure 2, image adopted from ref [30] based on data from ref [31].
The atoms encountered in a typical resist composition are carbon, oxygen, and hydrogen. As shown in the graph of Figure 2, oxygen has a much higher photoemission cross section at EUV than C, thus increased the ratio of oxygen atoms in the composition is expected to increase the material absorption of 92 eV radiation resulting to enhanced electron emission [30]. On the other hand, one can further control the material absorption at EUV by incorporating molecules containing other atoms such as Hf, Zn, Sn, some of which are considered for the first time, in the resist composition.
In the first years of investigating options for resist materials suitable for exposure at 13.5 nm it was realized that high material absorption was not an issue of concern at EUV as was for 193 nm and 157 nm lithography [32]. On the contrary, it was soon noticed that the typical organic resist materials had rather low absorbance at the thicknesses of interest and this low absorbance would also result in low sensitivity. Thus, the incorporation of atoms in the resist composition that could substantially increase the materials absorbance was proposed among other strategies to increase sensitivity [17,18]. According to the data presented in Figure 2 such atoms could be Sn and I, compounds of which are indeed under investigation as the main components of highly sensitive resists and they will be discussed below.
In a deeper examination of the facts related to the increase of the resists absorbance one should consider the probabilities of absorption exhibited by the different atoms and correlate them with data for the absorption cross section for different wavelengths of ionization radiation. Relevant data can be also found in ref [33] where the different atom cross sections at a range of radiation energies are presented and correlated with specific atomic energy levels. By examining the tables for different atoms, it is clear that the main tendency is that the ionizing radiation interacts preferentially with electrons lying at deeper levels if they are at a distance smaller than 92 eV from the vacuum level. Thus, although for the case of C electrons can be released only from the 2s and 2p levels, for heavier elements there are core electrons at deeper levels which interact preferentially with the radiation. In ref [29] a recent study is reported where molecules of similar composition are compared (see Figure 3). There, it is shown that the substitution of H with e.g., halogen atoms has a dramatic influence on the formation of photoelectrons. The most interesting case is the one of iodine-substituted compound where the photoelectron spectrum intensity is much higher than that of the corresponding molecules containing lower atomic number atoms. This high intensity is due to the existence of core levels at favorable energy position and results in the formation of photoelectrons with rather low electron energies. The understanding of the impact of low energy electrons is a significant issue in designing efficient EUV resists. This point is under investigation in different resist systems, see for instance the case presented below in Figure 9.
One more remark that should be made here is that according to the discussion in ref [30] and the tables of references [31] and [33] it appears that it is quite improbable to have interaction of the incoming 92 eV photon with the electrons participating in the chemical bonds since these are substantially closer to the vacuum level. This remark rationalizes the hypothesis that the absorbance at EUV is mainly controlled by the atomic composition of the materials and not by the specific molecules used. Nevertheless, a deeper examination of the issue still has to be undertaken since the above considerations are usually based on energy position arguments and a detailed examination of the different electron states and their possible interactions with electromagnetic radiation has been undertaken only in very few cases.

4. Chemical Directions for Highly Sensitive Resists at EUV

General Considerations
Although the photochemistry involved in 248 and 193 nm is fully studied and the basic events occurring in those wavelengths are well-known, little work has been performed regarding organic material exposure at 13.5 nm. Actually, the chemical roots activated by the ionizing electromagnetic radiation have been so far considered mostly in very general terms not only for EUV but also for other wavelength ranges of ionizing electromagnetic radiation and even for different types of ionizing radiations including electron beams. The main reason for this fact is the difficulty encountered to identify and follow the plethora of possible events that are possible in specific organic chemical systems. Indeed, the ionizing electromagnetic radiation mainly gives rise to the formation of free electrons that can transfer different amounts of energy to the molecules through a number of interactions with the bound electrons. Nevertheless, in many cases the prevailing chemical outcomes are due to the availability of efficient chemical routes in the material, triggered by the formation of active intermediates like certain radicals, acids, or bases that act as initiators or catalysts. Some examples of such routes are the polymer degradation encountered in poly(meth) acrylates and related back-bone breakable polymers or the acid catalyzed deprotection or crosslinking reactions encountered in chemical systems best known as chemically amplified resists.
Such chemical reactions were discussed in X-ray lithography resists investigated until early 2000s when the EUV lithography appeared as an attractive option [34]. X-ray lithography based on radiation with wavelengths in the 0.1–10 nm range had been broadly investigated since these small wavelengths were considered attractive for high resolution applications. Nevertheless, the lack of good imaging technologies for such radiation had led to the adoption of the proximity exposure option which limited the possible gain from using small wavelength. The resists mainly explored during this period had been adaptations of UV or e-beam resists. The chemistries for solubility change observed were similar to the ones encountered in UV region especially for the case of poly(meth)acylate-based polymer resists such as PMMA (poly methyl methacrylate) and also for the acid-catalyzed systems (chemically amplified resists). The sensitivity of such resists in these wavelengths was not investigated in depth and the primary events after the absorption of electromagnetic radiation were not elucidated. The above approach was also adopted in the first resist efforts in EUV (13.5 nm) and the behavior of resists was compared with results obtained with different types of ionizing radiation as in ref [34]. A review of 2010 presents in a detailed manner radiation chemistry issues especially for the case of chemically amplified resists [35].
During the past decade the need for increased sensitivity which proved to be a significant requirement for the EUV lithography to become a competent industrial option ignited the research effort for especially designed sensitive and high-performing materials. The development and optimization of such high sensitivity resists pushed the scientific community to investigate in depth the radiation induced events and initiated a very demanding research area for new types of lithographic resists and for understanding fundamental issues [36]. In this respect, since photoelectrons and secondary electrons resulting from ionizing radiation were recognized to play the main role in solubility changing reactions [37], attention was drawn to the fundamentals of electron-induced chemistry known from other scientific fields as described for instance in [38,39]. Recently a study on the role of low energy electrons in a tin containing resist was published [40] and revealed their important role in resist chemistry. Similar studies are expected to dramatically improve the understanding of the resist chemistries at EUV.
In the following sections the different material options under investigation are discussed. These options include the introduction of unconventional materials such as the metal oxide containing resists and the in-depth understanding and optimization of the radiation chemistry encountered in more traditional resists as the back bone breakable polymers and the chemically amplified systems.

4.1. Chemically Amplified Resists

The imaging chemistry of the industrial resists used in 248 nm and 193 nm is based on an acid-catalyzed mechanism known as “Chemical Amplification.” The chemical amplified resists (CARs) are mainly consisted of a main polymeric or molecular component as a matrix, photoacid generator molecules, and base quencher molecules. Upon irradiation, the photo-acid generator molecules (PAGs) interact with the light to generate acids; then the change in dissolution rate of the matrix begins during a subsequent post-exposure bake (PEB) step. During this step reactions of specific groups of the matrix are catalyzed by the photogenerated acid molecules changing hydrophilicity, or inducing crosslinking or back bone scission, and hence alter solubility, usually in aqueous base developers. The design of the resists used for 248 nm and 193 nm relied on the tune of the absorptivity of the matrix, the type and size of the molecular components in the matrix, the expected etch resistance during pattern transfer as well as on several additional properties which controlled the performance of the resist [4]. Nevertheless, no such absorption selectivity of the main components of the resist materials can be expected when an ionizing radiation such as EUV (13.5 nm) is used. In fact, traditional CAR materials are very transparent in this wavelength, a fact that constitutes a major problem since it reduces the sensitivity of these materials. For this reason, new materials consisting of atoms with enhanced absorptivity in EUV regime have been proposed as alternative to traditional CARs. As discussed above the interaction of EUV photons with matter is mediated by photoelectrons, as well as secondary electrons. The broad energy distribution of these electrons that induce chemical changes in the material results in a variety of chemical events. The processes involved are quite complex and hard to disentangle, as photon illumination initiates often an entire electron cascade and the possibility of discerning the role of electrons with different energies is in many cases practically impossible. Consequently, it is not surprising that also in the case of CARs, as in other material cases, the interaction of low energy electrons (<15 eV) with soft matter is not well understood.
Toward improving our understanding on the behavior of CAR materials at EUV new experimental approaches have been proposed. Pollentier et al. in their paper [41] proposed an experimental approach based on residual gas analysis (RGA) to distinguish between photogenerated acid related reactions and direct scission reactions in model resist systems. In a more recent paper [42], also by Polentier et al., the residual gas analysis (RGA) was optimized and this method was successfully used to quantify the photogenerated acid yield and the reactions leading to insolubility as a function of EUV dose for a number of CAR formulations related to a commercial material.
On the other hand, new PAGs are introduced and are expected to perform more effectively at EUV compared to traditional PAGs. In a characteristic paper by Torti et al. [41], new fluorinated aryl sulfonates were investigated as initiators for EUV lithography and compared with traditional PAGs in epoxy-based hybrid materials. In Figure 4 the new proposed fluorinated PAGs are shown (upper row) along with the traditional PAGs which were used for comparison. As expected according to the atomic cross sections presented in Figure 2, the use of fluorinated PAGs resulted in resist formulations of enhanced sensitivity.
In the next section, we review the CAR materials used nowadays in EUVL as well as the proposed ways to improve their performance.

4.1.1. Polymeric Systems Based on Hydrophilicity Change

Polymeric chemically amplified resists have been deeply investigated and look most established at this point. Traditional 248 nm and 193 nm photoresists based on acid catalyzed hydrophilicity change of polymer pendant groups were first evaluated in EUV lithography. Typically, photoacid generator (PAG) molecules are applied as a source of acid catalyst triggered by EUV exposure. The PAG components can exist in the resist formulation as individual moieties or as incorporated groups in the resins (polymer bound PAGs). In this section, recent progress in the design of these materials following various strategies is described.
In an early study, Yamamoto et al. used polyhydroxystyrene and partially protected polyhydroxystyrene with tert-butyl and adamantly groups to examine the dependence of acid generation efficiency on the protection ratio of hydroxy groups in chemically amplified EUV resists. Their study showed that protection of hydroxy groups clearly affects the acid generation process. The incorporation of t-butyl groups decreased the acid generation efficiency while adamanthoxyethyl groups increased this efficiency [44]. As has been mentioned above the primitive efforts for development of resists for EUV lithography followed the deep knowledge that had been acquired from the mature resists used in longer wavelengths. The first evaluated resists in EUV lithography were simply extensions of the commercially available previously developed environmentally stable chemical amplification photoresists (ESCAP) consisting of poly(p-hydroxy)styrene (PHS)/styrene/t-butyl acrylate copolymers as they are presented in Figure 5.
Fedynyshyn et al. reported a study on ESCAP resist using an EUV illumination source which revealed the effect of the matrix on the acid generation efficiency. They found out that while the absorbance was considered to be the important parameter, other polymer properties also strongly influenced the acid generation efficiency of the used PAG. The nature of the polymeric matrix, i.e., the different atoms and chemical groups that are present, plays an important role in the acid efficiency and has to be taken into account in order to achieve effective sensitization on the PAG. It should be noticed that these specific resists have well-defined processes and therefore they were extensively used in the early development of exposure tools. They showed capability for 30 nm half-pitch (hp) resolution with the required sensitivity, but it became clear that new designed resist materials optimized for the 13.5 nm wavelength exposure were needed for further performance improvement [45]. Tamaoki et al. compared polymer-bound PAG and polymer-blended PAG type resist materials in terms of blur, swelling properties, and lithographic performance. They found out that the polymer with bound PAG acquired very small blur with higher sensitivity and suppressed swelling very well [46]. Tarutani et al. investigated the effect of the hydrophobicity on the ultimate resolution of a photoresist material. Accordingly, they synthesized a series of polymers in which their hydrophobicity was changed by utilizing polymers having a different chemical structure and protection ratio. The polymer with the higher hydrophobicity can resolve 16 nm hp line/spacer using an EUV tool [47]. In another work of the same group, CARs with different sensitivities were synthesized in order to examine the impact of sensitivity on 15 nm hp resolution. Their results suggested that there was a strong relation between the exposure dose and the quality of the created structures. The resist with lower sensitivity (>30 mJ/cm2) could resolve 15 nm hp because of the low impact of photon shot noise [48]. Other issues concerning EUV resists include Out of Band (OoB) radiation which deteriorates the resist performance. OoB is estimated to be about 4% of the radiation from the EUV tool. PAGs with selectivity to EUV radiation have been designed and synthesized to minimize the effect of OoB in resist performance. These PAGs exhibited decreased Deep UV (DUV) absorption by the incorporation of insensitive cations and they were considered in resist formulations based both on blended PAG and polymer-bound PAG. The concept and merit of OoB insensitivity was confirmed by the obtained DUV and EUV sensitivity [49,50,51,52].
Liu et al. found out a chemical way to introduce PAG moieties in polymeric chains. This direct modification of polymeric chains was based on the introduction of sulfonium chloride onto the benzene ring of PHS by a convenient direct reaction at a high rate and then the anion was exchanged into perfluroalkyl sulfonate [53]. Narasimhan et al. studied the interaction between electrons having similar energies to secondary electrons produced during the EUV exposures and investigated resist materials using both experimentation and modeling [54]. JSR corporation developed new CAR EUV resist formulations showing short acid diffusion length as well as new sensitizers with higher EUV photo absorption atoms. These resist formulations showed capability to resolve 13 nm hp. Furthermore, addition of a new sensitizer to conventional CARs can improve the sensitivity about 9–16% with no affection on resolution and line width roughness (LWR) [55,56]. Krysak et al. established a method of pattern collapse mitigation in CARs using a dry develop rise material. This method is able to extend the resolution limit of chemical amplified resist susceptible to pattern collapse, resolving 24 nm pitch features [57]. Thackeray et al. found out that resists with low activation energies of deprotection can achieve superior process window and exposure latitude in the 35 nm resolution regime. In addition, they use photo-destroyable quenchers to minimize the loss of the photogenerated protons [58,59]. Fujii et al. improved resist sensitivity by increasing the proton source content in the polymer and applying an electron withdrawing group on PAG cation. They fabricated 13 nm hp line/spaces using newly developed chemical amplified resist materials combining the aforementioned items for enhancing the acid generation efficiency and suppressing the acid diffusion length [60]. Yamamoto et al. improved the sensitivity of chemically amplified resist by adding a metal sensitizer. The improvement in sensitivity is not a result of higher EUV photon absorption but of higher acid yield and electron efficiency. They achieved 43% improvement in sensitivity as well as reduction in LWR [61]. Fallica et al. measured the rate of bleaching by tracking the change in absorptivity of CARs during exposure to EUV light. They found out that the bleaching speed depended on the PAG-polymer interaction. This is an important effort in resist design and development because of the fact that Dill C parameter can be tuned in a variety of ways [62]. Lee et al. developed a multiscale model for EUV patterning of CARs. This model gives insight information about the chemical reactions (diffusion, quenching, deprotection etc.,) taking place during the structuring. Furthermore, it can predict the polymer loss during PEB as well as LER performance [63].
Additional ways to improve chemical amplified resist performance have also been proposed. Brainard et al. developed and evaluated eleven acid amplifiers for use in EUV photoresist. Acid amplifier (AA) is a compound that decomposed rapidly after the influence of an acid to generate more acid. They used an ESCAP photoresist measuring the performance after the addition of an AA. They found out that AA producing fluorinated sulfonic acids shows great promise in helping EUV resists, simultaneously improving the resolution, LER, and sensitivity [64]. Sekigushi et al. studied how the addition of metal into an ESCAP resist influences the sensitivity. They performed transmittance measurements and sensitivity evaluation of an ESCAP type resist doped with ZrO2 and TeO2 nanoparticles which have low and high absorptivity in EUV radiation respectively. ZrO2 nanoparticles caused no change in absorption and only slight sensitivity enhancement, whereas TeO2 nanoparticles enhanced both absorption and sensitivity [65]. Jiang et al. compared the impact of metal salt sensitizers and halogenated sensitizers on EUV sensitivity. Metal sensitizers improve both EUV photon absorption and electron yield resulting in higher sensitivity. Fluorine and iodine sensitizers also improved electron generation with their higher absorption but the chemical environment where these halogens are bonded influences heavily the sensitivity [66]. Nagahara et al. introduced Flood exposure Assisted Chemical gradient Enhancement Technology (FACET) to improve the resolution, process control, roughness, patterning failure, and sensitivity in EUV resist. Their concept is based on the increase in UV absorption after the influence of a EUV generated acid. After that the UV flood exposure induces acid production in these areas [67]. Okamoto et al. investigated the effect of the addition of diphenyl sulfones into EUV CARs. They confirmed that the addition of sulfones significantly increases the acid yield leading to the increase of the sensitivity of the CARs [68].

4.1.2. Polymeric Systems Based on Acid-Catalyzed Main Chain Scission

Chemically amplified resists that can undergo acid catalyzed chain scission have been proposed as an alternative to resists based on hydrophilicity change in order to achieve high sensitivity at EUV. In these resists the imaging chemistry is based on acid-catalyzed back bone breaking instead of the deprotection of a pendant group. The removable units are attached at low molecular weight monomeric units instead of polymeric chains. Cardineau et al. synthesized polymers containing either tertiary aliphatic or tertiary benzylic cleavable ethers. But, further development would be made to overcome damage drawbacks such as serpentine pattern deformation and bridging [69]. In a similar approach, Manouras et al. designed and synthesized a random copolymer containing acid-cleavable bonds along the main chain. As it is presented in Figure 6, the random copolymer consisted of three different monomers corresponding at percentages of approximately 85%, 10%, and 5%, connected with acid labile bonds. Each monomer introduces or tunes a specific property of the random copolymer such as etch resistance, EUV absorptivity, Tg etc. Obviously, the bond strength of the monomer at high percentage dominated the polymer back bone breaking. Resist films based on the synthesized polymer have shown satisfactory etch resistance, due to the high aromatic moiety content. The sensitivity of this polymer is very high and the high values of Tg maintain the polymeric thin film untouched in the exposure step. The main chain of the polymer steadily chopped in the post exposure bake step in which the photogenerated acid can easily penetrate into the polymeric matrix. Resist formulations based on the synthesized random copolymer were exposed to EUV radiation exhibiting a high potential for industrial applications. A characteristic contrast curve shows that the resists are ultra-high sensitive (~0.5 mJ/cm2 using 5% PAG and 0.25% quencher) with satisfactory contrast, whereas they also showed very good etch resistance (1/10 selectivity to SiO2). Imaging experiments using EUV lithography have demonstrated capability for 20 nm lines with ultra-low doses <4 mJ/cm2 using 2.5% PAG and 0.15% quencher [70].

4.1.3. Molecular Chemically Amplified Systems

Molecular type resists have attracted much attention for years since the small size of basic matrix material and its well-defined molecular structure are expected to be beneficial to better resolution and lower LWR. Past developments in the field of positive molecular resists including EUV materials had been reviewed in 2016 [71]. Currently, material’s robustness is often discussed like Tg, modulus, and adhesion to substrate along with efforts for high sensitivity. Echigo et al. developed a new molecular photoresist based on calixarene chemistry (Figure 7b). This resist has excellent solubility in conventional resist solvents and can be developed with standard alkaline developer TMAH. EUV patterning results showed resolution capability of 45 nm line and space as an EUV dose of 10.3 mJ/cm2 [72]. Figure 7 contains the precursor molecules of Noria photoresists (Figure 7a) and calixarene-based photoresists (Figure 7b). The hydroxyl groups contained in the aforementioned molecules can easily be modified producing photoresists with improved properties. Owada et al. prepared cyclic low molecular (CLM) weight resists with different protecting number. CLM resist achieved resolution of sub 30 nm hp patterns with high sensitivity [73]. Kudo et al. synthesized Noria derivatives with pendant adalantyl ester groups. They created 25 nm resolution pattern using EUV lithography. These patterns were obtained with less than 10 mJ/cm2 irradiation dose [74]. Kulshreshtha et al. synthesized a negative tone chemically amplified molecular resist based on modified Noria molecule having oxetane crosslinking moieties. Optimization of crosslinking can improve the balance between sensitivity, LER, and swelling. They have patterned 1:1 line structures with 20 nm resolution and 3.2 nm LER [75]. Another work of the same group demonstrated a blended resist system with higher performance by combining enthalpic and entropic contributions to solubility contrast. These resists have shown significant advancements in resolution, LER, and processability [76]. Dow electronics designed and synthesized several molecular glasses (MG) resists based on calixarene cores as alternatives to polymeric resists. They studied the relationship between the structure and the properties of MG resists in order to improve the lithographic performance. They created patterns with 28 nm 1:1 space lines using EUV exposure [77]. Frommhold et al. developed a new molecular resist system that showed high resolution capability. They optimized the performance of this system at 14 nm hp by 50% using a new quencher. Furthermore, dose improvements up to 60% was observed using metals as additives [78,79]. Irresistible materials Ltd. developed negative resist materials based on a multi-trigger concept. In a multi-trigger material, a catalytic process is utilized following the resist exposure in a similar manner to a chemical-amplified photoresist. However, in multi-trigger resist, multiple photoacids activate multiple acid sensitive molecules, which then react with each other to cause a single resist event. Figure 7c depicts the basic components of the multi-trigger resist formulations which are a molecular resin and an epoxy crosslinker molecule. Instead of a photoacid causing a single resist chemistry event as occurred in traditional CARs, in the case of multi-trigger resist concept, the photogenerated acid is being regenerated. This concept enables a high sensitivity solubility change above a certain dose threshold, but turns the resist respond off at lower dosages. This behavior is expected to lead to sharper lines and lower LER. Several studies have been performed to tune the quencher loading, metal addition, and resist design. Improvements on the design of these materials led to some excellent and very promising results in resolution, LWR-LER, and sensitivity. Resist formulations based on the multi-trigger concept showed capability to resolve 13.3 nm lines on 28 nm pitch, with 2.97 nm LWR and dose of 26 mJ/cm2 as well as 14.7 nm lines on 30 nm pitch, with 2.72 nm LWR and dose of 34 mJ/cm2 [80,81,82,83,84].

4.2. Non Chemically Amplified Photoresists

Development of non-chemically amplified resists has been proposed as an alternative to chemically amplified because of acid diffusion which may limit resolution improvement and worsen LWR for 22 nm HP and beyond. A key example is the excellent resolution and LER performance of the chain-scission polymethylmethacrylate (PMMA) resists. The chain scission reactions in these systems are well-known from the early days of Semiconductor Lithography [86], and the relevant reactions have been studied extensively. The backbone of the PMMA resist is cleaved under UV, ionizing radiation and electron beam exposures as shown in Figure 8, in the scheme adopted from ref [87]. Despite some excellent characteristics, PMMA resists have a number of drawbacks that have prevented their widespread use, such as the need for organic solvent development, high outgassing, poor etch resistance, and poor sensitivity. Different approaches are proposed to address these drawbacks and even recently it was a reported a new approach for enhancing PMMA pattern transfer performance through an infiltration process resulting in the formation of an AlOx film on top of the PMMA resist film [88]. This approach has been demonstrated so far with e-beam exposure. On the other hand in an increasing number of papers the performance of PMMA and related materials at EUV is investigated. Fallica et al. compared the performance of three high resolution lithographic tools. They used EUV interference lithography (EUV-IL), electron beam lithography, and He ion beam lithography tools to evaluate PMMA and hydrogen silsesquioxane (HSQ) resists under the same conditions. EUV-IL is a technique capable to pattern large areas of dense features with good resolution. Electron beam lithography is effective to fabricate high resolution arbitrary patterns and He ion beam is a promising technique to create both isolated and dense patterns because of almost negligible backscattered electrons [89]. ZEP resin has been extensively studied as an electron beam lithography resist material and has capability to provide high resolution patterns. The imaging enabling degradation mechanism is well studied and understood [90,91]. As it is shown in the Figure 8, PMMA and its derivatives undergo main chain scission when it is irradiated with proper radiation. ZEP520A was evaluated as a EUV resist using EUV-IL and exhibited better sensitivity in EUV radiation compared to PMMA. It yielded excellent dense arrays of 50 nm hp resolution and down to 25 nm hp with acceptable LER [92]. Sharma et al. developed a non-chemically amplified photoresist consisting of 4-(methacryloyloxy)phenyl dimethyl sulfonium triflate-r-isopropyl methacrylate. The resist has shown sensitivity of about 11.3 mJ/cm2 avoiding acid diffusion and blurring of resist pattern [93]. Oyama et al. introduced an easy method to predict EUV sensitivity by using electron beam sources. Considering that e-beam and EUV can induce the same chemical reactions, the required expose doses for the e-beam and EUV are expected to be related [94]. In a study at EUV [95] the influence of the PMMA molecular weight and processing parameters was examined. A characteristic EUV dose to clear was found to be 25 mJ/cm2 whereas crosslinking was observed at a dose of 600 mJ/cm2. The higher Mw material (950 K) gave the best printing results for 50 nm lines/spaces.

4.3. Inorganic Resists

In the first steps of EUV resist development, in an analogous way to the design of KrF or ArF resists, materials containing silicon attracted attention despite its low absorbing character to EUV light. However, realizing the importance of enhancing resist film absorbance to EUV light due to the low light source output at 13.5 nm, the silicon materials investigation as candidate EUV resists was substantially reduced. Alternatively, because of higher absorptivity in EUV radiation, resist materials containing metals were gaining interest. These materials are expected to have excellent sensitivity, better robustness and good etch resistance. Furthermore, it is expected to have improved properties concerning the relation among resolution, line edge roughness (in general, pattern quality), and sensitivity.
Most of the promising materials proposed are based on the inclusion of Hf, Zr, Zn, and Sn atoms in the resist formulation which are expected to enhance photoelectron production upon EUV radiation as expected from the data in Figure 2. In the following section we will review the promising materials that have been proposed trying to keep a chronological order, starting from the older ones. Since the imaging chemistries encountered are still under intense investigation, the recent papers focusing mostly on mechanistic issues will be discussed at the end of this topic on inorganic resists.
Ober’s group introduced metal oxide nanoparticles (NP) as next generation photoresist materials. The synthesis of these nanoparticles includes the controlled hydrolysis of zirconium or hafnium alkoxides in an excess of carboxylic acid followed by precipitation treatments to give ZrO2-NP or HfO2-NP with organic ligands. The size of the NP was controlled below 3 nm which is suitable for sub-20 nm lithography. Using either photoradical initiator or PAG, these NP gave both positive and negative tone patterns. These materials have shown high etch resistance as well as thermal and chemical stability. They have capability to resolve 26 nm lines using only 4.2 mJ/cm2 EUV dose. Furthermore, they made several efforts to understand the imaging mechanism of these hybrid photoresists [96,97,98].
Cardineau et al. studied the photolysis of tin clusters of the type [(RSn)12O4(OH)6]X2 using EUV radiation and explored these clusters as novel high-resolution photoresist materials. The photolysis of the organic ligand after the EUV irradiation activates the cluster leading to agglomeration and results in the observed negative-tone imaging. They have resolved dense line patterns with 18 nm dimension [99]. Passarelli et al. developed organometallic carboxylate compounds [RnM(O2(R’)2] as negative-tone EUV resists candidates. The imaging chemistry of such a resist is based on the polymerization of its acrylic substituents. This system has demonstrated exceptional sensitivity printing 35 nm dense lines with 5.6 mJ/cm2. Furthermore, they found out that among antimony, bismuth, tin and tellurium containing materials, the antimony incorporation provides the more sensitive resist while tellurium the least [100]. Sortland et al. investigated the photoreactivity of platinum and palladium mononuclear complexes. Despite the fact that many platinum and palladium complexes show little or no EUV sensitivity, they have found that metal carbonates (L2M(CO3) and metal oxalates (L2M(C2O4) (M is either Pt or Pd) are sensitive to EUV radiation. They demonstrated that the use of palladium as a core metal offers faster resists than the use of platinum [101]. Fugimori et al. developed a metal containing non chemically amplified resist material showing ultra-high sensitivity and capability to resolve 17 nm resolution features with 7 mJ/cm2 [102]. Li et al. prepared Hf-based photoresist materials with three different organic ligands by a sol-gel method. These resists have shown high sensitivity in EUV radiation as well as capability to create high resolution patterns [103]. Inpria corporation developed directly patternable, metal oxide hardmasks as robust, high resolution photoresists for EUV lithography. They have achieved 13 nm half-pitch at 35 mJ/cm2 and 11 nm hp with 1.7 nm LWR [104]. On the other hand, Hinsberg et al. proposed a numeric model describing the chemical and physical mechanisms governing pattern formation in metal oxide (MOx) EUV photoresists. They used experimental measurements to develop a quantitative representation of the chemical and physical state of the MOx resist film at each step of the lithographic process [105]. Xu et al. prepared discrete nanometer scale zinc-based clusters and used them as resist materials for EUV lithography. These materials have shown capability to resolve 15 nm features [106]. Zang et al. reported the dual tone property of the tin-oxo cage [(BuSn)12O14(OH)6](OH)2 photoresist. This resist has shown a positive tone behavior when it is irradiated with low dose of EUV or E-beam and a negative tone behavior when it is irradiated at higher dosages [107]. Sitterly et al. investigated the photoreactivity of six organometallic complexes of the type phnMX2 containing bismuth, antimony, and tellurium as metals and acetate or pivalate as ligands. They monitored the photodecomposition using mass spectroscopy when they were irradiated with EUV. They found that both the metal center and the carboxylate ligands have significant influence on the EUV photoreactivity of these compounds [108]. Rantala et al. developed novel EUV resists based on organohydrogensilsesquioxane. These materials worked as negative tone resists and have shown excellent etch selectivity and ability to form patterns by using industry standard TMAH development process. Furthermore, they exhibited low LWR (<2 nm) with sufficient sensitivity (40–60 mJ/cm2) [109,110]. Thakur et al. prepared Zn-based oxoclusters having trifluoroacetate (TFA) and methacrylate (MA) ligands. The Zn(MA)TFA photoresist displays appreciable sensitivity toward EUV radiation [111].
The mechanisms in all the above materials are still not adequately understood. Recently a study on hybrid HfO containing resists was reported by Mattson et al. [112]. In this study EUV-induced reactions were studied by using in situ IR spectroscopy of films irradiated by a variable energy electron gun and insights on the solubility changing mechanisms were obtained. Another study for HfO and ZrO materials was published by Wu et al. [113]. They applied different spectroscopic techniques and confirmed the higher sensitivity for a Hf-based material, as expected from its higher absorptivity. The role of carboxylate ligands in the resist crosslinking was also confirmed.
Lately, a lot of interest has been devoted to tin oxo cage materials. Haitjema et al. studied the chemical behavior observed in tin oxo cage materials under UV exposure to get clues that could also be of use for determining the EUV imaging chemistry [114]. Further insights on tin oxo cages chemistry at EUV was provided in the recent work by Bespalov where the influence of electron energy was studied [40]. The main results from this study are depicted in Figure 9. It was found that when the electron energy was under 2 eV incomplete material crosslinking was achieved. On the other hand for energies above 2 eV the material was crosslinked providing a denser final film.
The above mechanistic studies are now starting to help the elucidation of imaging mechanisms in the novel inorganic or hybrid component containing materials that are proposed as EUV resists. The continuation of this effort is expected to lead in designing high sensitivity EUV resists of advanced performance in the near future.

5. Summary and Outlook

The developments on the evolution of EUV resist technology during the past decade reviewed in the previous chapters, which have been actually intensified during the last few years, deserve the attention not only of the lithographic community but also of the broader nanomaterials community.
In the Table 1 below we summarize the performance results of the most promising resist materials reviewed. The materials are listed according to the design principle and the type of the main component in the resist composition. The performance indicators include the best resolution reported along with the corresponding dose, whereas data on the pattern quality i.e., LER-LWR (line edge roughness or line width roughness are provided, where available).
The main directions of the EUV resist technology evolution are also depicted in the graph of Figure 10.
Based on the reviewed ongoing efforts towards new resist materials we could emphasize the following points:
First, the demand for high performing resists according to industry standards for sub 20 nm nanostructures and also the demand of high sensitivity for securing acceptable technology throughput led to both, revisiting the fundamental issues and proposing new non-traditional material solutions. The ongoing resist field effort is expected to enable the successful adoption of EUV technology by the semiconductor industry.
Second, the new materials that have been proposed as resist candidates have incorporated many novelties. Especially interesting is the case of resists incorporating metal nanoclusters and related compounds. These compounds were selected because of their high absorbance of ionizing radiation, in particular EUV, but it is conceivable that they could absorb in other spectral regions as well and can be of use in a plethora of other radiation-related applications. The fact that well-defined nanostructures can be formed with such materials can also have important implications.
Third, electron-induced chemical changes that can be controlled and directed toward specific results are encountered in other fields of chemistry. Thus knowledge that can be acquired in the resist development effort can be eventually utilized for devising new routes in chemical synthesis. It can be also of great help in biology-related studies where very often the results of ionizing radiation should be investigated in depth.
It should be finally noticed here that open questions related to resist chemistry optimization remain and that the last developments can be viewed as the beginning rather than the end in the effort toward devising ionizing radiation sensitive materials of high performance.

Author Contributions

P.A. and T.M. conceived and wrote the review. All authors have read and agreed to the published version of the manuscript.

Funding

We acknowledge support from “National Infrastructure in Nanotechnology, Advanced Materials and Micro-/Nanoelectronics” (MIS 5002772) which is implemented under the Action “Reinforcement of the Research and Innovation Infrastructure”, funded by the Operational Programme “Competitiveness, Entrepreneurship and Innovation” (NSRF 2014- 2020) and co-financed by Greece and the European Union (European Regional Development Fund).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Pease, R.F.; Chou, S.Y. Lithography and Other Patterning Techniques for Future Electronics. Proc. IEEE 2008, 96, 248–270. [Google Scholar] [CrossRef]
  2. Pimpin, A.; Srituravanich, W. Review on Micro- and Nanolithography Techniques and Their Applications. Eng. J. 2011, 16, 37. [Google Scholar] [CrossRef] [Green Version]
  3. Seisyan, R.P. Nanolithography in microelectronics: A review. Tech. Phys. 2011, 56, 1061. [Google Scholar] [CrossRef]
  4. Lawson, R.A.; Robinson, A.P.G. Chapter 1—Overview of materials and processes for lithography. In Frontiers of Nanoscience; Robinson, A., Lawson, R., Eds.; Elsevier: Amsterdam, The Netherlands, 2016; Volume 11, pp. 1–90. [Google Scholar]
  5. Jeong, S.-J.; Kim, J.Y.; Kim, B.H.; Moon, H.-S.; Kim, S.O. Directed self-assembly of block copolymers for next generation nanolithography. Mater. Today 2013, 16, 468–476. [Google Scholar] [CrossRef]
  6. Kim, B.H.; Kim, J.Y.; Kim, S.O. Directed self-assembly of block copolymers for universal nanopatterning. Soft Matter 2013, 9, 2780–2786. [Google Scholar] [CrossRef]
  7. Stoykovich, M.P.; Nealey, P.F. Block copolymers and conventional lithography. Mater. Today 2006, 9, 20–29. [Google Scholar] [CrossRef]
  8. Yang, G.-W.; Wu, G.-P.; Chen, X.; Xiong, S.; Arges, C.G.; Ji, S.; Nealey, P.F.; Lu, X.-B.; Darensbourg, D.J.; Xu, Z.-K. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography. Nano Lett. 2017, 17, 1233–1239. [Google Scholar] [CrossRef]
  9. Chen, Y. Nanofabrication by electron beam lithography and its applications: A review. Microelectron. Eng. 2015, 135, 57–72. [Google Scholar] [CrossRef]
  10. Okazaki, S. High resolution optical lithography or high throughput electron beam lithography: The technical struggle from the micro to the nano-fabrication evolution. Microelectron. Eng. 2015, 133, 23–35. [Google Scholar] [CrossRef]
  11. Tseng, A.A.; Kuan, C.; Chen, C.D.; Ma, K.J. Electron beam lithography in nanoscale fabrication: Recent development. IEEE Trans. Electron. Packag. Manuf. 2003, 26, 141–149. [Google Scholar] [CrossRef] [Green Version]
  12. Vieu, C.; Carcenac, F.; Pépin, A.; Chen, Y.; Mejias, M.; Lebib, A.; Manin-Ferlazzo, L.; Couraud, L.; Launois, H. Electron beam lithography: Resolution limits and applications. Appl. Surf. Sci. 2000, 164, 111–117. [Google Scholar] [CrossRef]
  13. Öktem, B.; Pavlov, I.; Ilday, S.; Kalaycıoğlu, H.; Rybak, A.; Yavaş, S.; Erdoğan, M.; Ilday, F.Ö. Nonlinear laser lithography for indefinitely large-area nanostructuring with femtosecond pulses. Nat. Photonics 2013, 7, 897–901. [Google Scholar] [CrossRef]
  14. Walsh, M.E.; Zhang, F.; Menon, R.; Smith, H.I. 6—Maskless photolithography. In Nanolithography; Feldman, M., Ed.; Woodhead Publishing: Cambridge, UK, 2014; pp. 179–193. [Google Scholar] [CrossRef]
  15. Douglas, J.R.; Jin, C. A review of nanoimprint lithography for high-volume semiconductor device manufacturing. Adv. Opt. Technol. 2017, 6, 229–241. [Google Scholar] [CrossRef]
  16. Jan van, S.; Helmut, S. Next-generation lithography—An outlook on EUV projection and nanoimprint. Adv. Opt. Technol. 2017, 6, 159–162. [Google Scholar] [CrossRef] [Green Version]
  17. Danilo De, S.; Yannick, V.; Geert, V. Photoresists in extreme ultraviolet lithography (EUVL). Adv. Opt. Technol. 2017, 6, 163–172. [Google Scholar] [CrossRef]
  18. Li, L.; Liu, X.; Pal, S.; Wang, S.; Ober, C.K.; Giannelis, E.P. Extreme ultraviolet resist materials for sub-7 nm patterning. Chem. Soc. Rev. 2017, 46, 4855–4866. [Google Scholar] [CrossRef]
  19. Luo, C.; Xu, C.; Lv, L.; Li, H.; Huang, X.; Liu, W. Review of recent advances in inorganic photoresists. RSC Adv. 2020, 10, 8385–8395. [Google Scholar] [CrossRef]
  20. Wu, B.; Kumar, A. Extreme ultraviolet lithography: A review. J. Vac. Sci. Technol. B 2007, 25, 1743–1761. [Google Scholar] [CrossRef]
  21. Buitrago, E.; Kulmala, T.S.; Fallica, R.; Ekinci, Y. Chapter 4—EUV lithography process challenges. In Frontiers of Nanoscience; Robinson, A., Lawson, R., Eds.; Elsevier: Amsterdam, The Netherlands, 2016; Volume 11, pp. 135–176. [Google Scholar]
  22. Solak, H.H.; He, D.; Li, W.; Singh-Gasson, S.; Cerrina, F.; Sohn, B.H.; Yang, X.M.; Nealey, P. Exposure of 38 nm period grating patterns with extreme ultraviolet interferometric lithography. Appl. Phys. Lett. 1999, 75, 2328–2330. [Google Scholar] [CrossRef] [Green Version]
  23. Bhattarai, S.; Neureuther, A.R.; Naulleau, P.P. Study of shot noise in photoresists for extreme ultraviolet lithography through comparative analysis of line edge roughness in electron beam and extreme ultraviolet lithography. J. Vac. Sci. Technol. B 2017, 35, 061602. [Google Scholar] [CrossRef]
  24. Naulleau, P. Chapter 5—EUV lithography patterning challenges. In Frontiers of Nanoscience; Robinson, A., Lawson, R., Eds.; Elsevier: Amsterdam, The Netherlands, 2016; Volume 11, pp. 177–192. [Google Scholar]
  25. Banine, V.; Moors, R. Plasma sources for EUV lithography exposure tools. J. Phys. D Appl. Phys. 2004, 37, 3207–3212. [Google Scholar] [CrossRef]
  26. Banine, V.; Benschop, J.; Leenders, M.; Moors, R. Relationship between an EUV source and the performance of an EUV lithographic system. In Emerging Lithographic Technologies IV; SPIE: Bellingham, WA, USA, 2000; Volume 3997, pp. 126–135. [Google Scholar]
  27. Photonic Frontiers: EUV Lithography: EUV Lithography Has Yet to Find Its Way into the Fab. Available online: https://www.laserfocusworld.com/lasers-sources/article/16557008/photonic-frontiers-euv-lithography-euv-lithography-has-yet-to-find-its-way-into-the-fab (accessed on 2 August 2020).
  28. Wood Ii, O.R. EUVL: Challenges to Manufacturing Insertion. J. Photopolym. Sci. Technol. 2017, 30, 599–604. [Google Scholar] [CrossRef] [Green Version]
  29. Kostko, O.; Xu, B.; Ahmed, M.; Slaughter, D.S.; Ogletree, D.F.; Closser, K.D.; Prendergast, D.G.; Naulleau, P.; Olynick, D.L.; Ashby, P.D.; et al. Fundamental understanding of chemical processes in extreme ultraviolet resist materials. J. Chem. Phys. 2018, 149, 154305. [Google Scholar] [CrossRef]
  30. Ogletree, D.F. Chapter 2—Molecular excitation and relaxation of extreme ultraviolet lithography photoresists. In Frontiers of Nanoscience; Robinson, A., Lawson, R., Eds.; Elsevier: Amsterdam, The Netherlands, 2016; Volume 11, pp. 91–113. [Google Scholar]
  31. Henke, B.L.; Gullikson, E.M.; Davis, J.C. X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E = 50–30,000 eV, Z = 1–92. At. Data Nucl. Data Tables 1993, 54, 181–342. [Google Scholar] [CrossRef] [Green Version]
  32. Irie, S.; Endo, M.; Sasago, M.; Kandaka, N.; Kondo, H.; Murakami, K. Study of Transmittance of Polymers and Influence of Photoacid Generator on Resist Transmittance at Extreme Ultraviolet Wavelength. Jpn. J. Appl. Phys. 2002, 41, 5864–5867. [Google Scholar] [CrossRef]
  33. Yeh, J.J.; Lindau, I. Atomic subshell photoionization cross sections and asymmetry parameters: 1 ≤ Z ≤ 103. At. Data Nucl. Data Tables 1985, 32, 1–155. [Google Scholar] [CrossRef]
  34. He, D.; Solak, H.; Li, W.; Cerrina, F. Extreme ultraviolet and x-ray resist: Comparison study. J. Vac. Sci. Technol. B 1999, 17, 3379–3383. [Google Scholar] [CrossRef]
  35. Kozawa, T.; Tagawa, S. Radiation Chemistry in Chemically Amplified Resists. Jpn. J. Appl. Phys. 2010, 49, 030001. [Google Scholar] [CrossRef]
  36. Narasimhan, A.; Wisehart, L.; Grzeskowiak, S.; Ocola, L.E.; Denbeaux, G.; Brainard, R.L. What We Don’t Know About EUV Exposure Mechanisms. J. Photopolym. Sci. Technol. 2017, 30, 113–120. [Google Scholar] [CrossRef] [Green Version]
  37. Torok, J.; Re, R.D.; Herbol, H.; Das, S.; Bocharova, I.; Paolucci, A.; Ocola, L.E.; Ventrice, C., Jr.; Lifshin, E.; Denbeaux, G.; et al. Secondary Electrons in EUV Lithography. J. Photopolym. Sci. Technol. 2013, 26, 625–634. [Google Scholar] [CrossRef] [Green Version]
  38. Böhler, E.; Warneke, J.; Swiderek, P. Control of chemical reactions and synthesis by low-energy electrons. Chem. Soc. Rev. 2013, 42, 9219–9231. [Google Scholar] [CrossRef]
  39. van Dorp, W.F. Chapter 3—Theory: Electron-induced chemistry. In Frontiers of Nanoscience; Robinson, A., Lawson, R., Eds.; Elsevier: Amsterdam, The Netherlands, 2016; Volume 11, pp. 115–133. [Google Scholar]
  40. Bespalov, I.; Zhang, Y.; Haitjema, J.; Tromp, R.M.; van der Molen, S.J.; Brouwer, A.M.; Jobst, J.; Castellanos, S. Key Role of Very Low Energy Electrons in Tin-Based Molecular Resists for Extreme Ultraviolet Nanolithography. ACS Appl. Mater. Interfaces 2020, 12, 9881–9889. [Google Scholar] [CrossRef] [Green Version]
  41. Pollentier, I.; Vesters, Y.; Petersen, J.; Vanelderen, P.; Rathore, A.; de Simone, D.; Vandenberghe, G. Unraveling the role of photons and electrons upon their chemical interaction with photoresist during EUV exposure. In Advances in Patterning Materials and Processes XXXV; SPIE: Bellingham, WA, USA, 2018; Volume 10586, p. 105860C. [Google Scholar]
  42. Pollentier, I.; Petersen, J.; De Bisschop, P.; De Simone, D.; Vandenberghe, G. Unraveling the EUV photoresist reactions: Which, how much, and how do they relate to printing performance. In Extreme Ultraviolet (EUV) Lithography X; SPIE: Bellingham, WA, USA, 2019; Volume 10957, p. 109570I. [Google Scholar]
  43. Torti, E.; Protti, S.; Bollanti, S.; Flora, F.; Torre, A.; Brusatin, G.; Gerardino, A.; Businaro, L.; Fagnoni, M.; Della Giustina, G.; et al. Aryl Sulfonates as Initiators for Extreme Ultraviolet Lithography: Applications in Epoxy-Based Hybrid Materials. ChemPhotoChem 2018, 2, 425–432. [Google Scholar] [CrossRef]
  44. Yamamoto, H.; Kozawa, T.; Nakano, A.; Okamoto, K.; Tagawa, S.; Ando, T.; Sato, M.; Komano, H. Dependence of acid generation efficiency on the protection ratio of hydroxyl groups in chemically amplified electron beam, x-ray and EUV resists. J. Vac. Sci. Technol. B 2004, 22, 3522–3524. [Google Scholar] [CrossRef]
  45. Fedynyshyn, T.; Goodman, R.; Roberts, J. Polymer matrix effects on acid generation. In Advances in Resist Materials and Processing Technology XXV; SPIE: Bellingham, WA, USA, 2008; Volume 6923, p. 692319. [Google Scholar]
  46. Tamaoki, H.; Tarutani, S.; Tsubaki, H.; Takahashi, T.; Inoue, N.; Tsuchihashi, T.; Takizawa, H.; Takahashi, H. Characterizing polymer bound PAG-type EUV resist. In Advances in Resist Materials and Processing Technology XXVIII; SPIE: Bellingham, WA, USA, 2011; Volume 7972, p. 79720A. [Google Scholar]
  47. Tarutani, S.; Tsubaki, H.; Takizawa, H.; Goto, T. EUV Resist Materials for 16 nm and below Half Pitch Applications. J. Photopolym. Sci. Technol. 2012, 25, 597–602. [Google Scholar] [CrossRef] [Green Version]
  48. Tsubaki, H.; Tarutani, S.; Inoue, N.; Takizawa, H.; Goto, T. EUV Resist Materials Design for 15 nm Half Pitch and Below. J. Photopolym. Sci. Technol. 2013, 26, 649–657. [Google Scholar] [CrossRef] [Green Version]
  49. Iwashita, J.; Hirayama, T.; Matsuzawa, K.; Utsumi, Y.; Ohmori, K. Out-of-band insensitive polymer-bound PAG for EUV resist. In Extreme Ultraviolet (EUV) Lithography III; SPIE: Bellingham, WA, USA, 2012; Volume 8322, p. 83220Y. [Google Scholar]
  50. Jain, V.; Coley, S.; Lee, J.J.; Christianson, M.; Arriola, D.; LaBeaume, P.; Danis, M.; Ortiz, N.; Kang, S.-J.; Wagner, M.; et al. Impact of polymerization process on OOB on lithographic performance of a EUV resist. In Extreme Ultraviolet (EUV) Lithography II; SPIE: Bellingham, WA, USA, 2011; Volume 7969, p. 796912. [Google Scholar]
  51. Park, C.-M.; Kim, I.; Kim, S.-H.; Kim, D.-W.; Hwang, M.-S.; Kang, S.-N.; Park, C.; Kim, H.-W.; Yeo, J.-H.; Kim, S.-S. Prospects of DUV OoB suppression techniques in EUV lithography. In Extreme Ultraviolet (EUV) Lithography V; SPIE: Bellingham, WA, USA, 2014; Volume 9048, p. 90480S. [Google Scholar]
  52. Roberts, J.; Bristol, R.; Younkin, T.; Fedynyshyn, T.; Astolfi, D.; Cabral, A. Sensitivity of EUV resists to out-of-band radiation. In Advances in Resist Materials and Processing Technology XXVI; SPIE: Bellingham, WA, USA, 2009; Volume 7273, p. 72731W. [Google Scholar]
  53. Liu, J.; Qiao, Y.; Wang, L. Novel polymeric sulfonium photoacid generator and its application for chemically amplified photoresists. In Advances in Patterning Materials and Processes XXXI; SPIE: Bellingham, WA, USA, 2014; Volume 9051, p. 905124. [Google Scholar]
  54. Narasimhan, A.; Grzeskowiak, S.; Srivats, B.; Herbol, H.; Wisehart, L.; Kelly, C.; Earley, W.; Ocola, L.; Neisser, M.; Denbeaux, G.; et al. Studying secondary electron behavior in EUV resists using experimentation and modeling. In Extreme Ultraviolet (EUV) Lithography VI; SPIE: Bellingham, WA, USA, 2015; Volume 9422, p. 942208. [Google Scholar]
  55. Fujiwara, K. Novel EUV resist development for sub-14 nm half pitch. In Proceedings of the 2017 China Semiconductor Technology International Conference (CSTIC), Shangai, China, 12–13 March 2017; pp. 1–2. [Google Scholar]
  56. Kimoto, T.; Naruoka, T.; Nakagawa, H.; Fujisawa, T.; Shiratani, M.; Nagai, T.; Ayothi, R.; Hishiro, Y.; Hori, M.; Hoshiko, K.; et al. Novel EUV Resist Development for Sub-14nm Half Pitch. J. Photopolym. Sci. Technol. 2015, 28, 519–523. [Google Scholar] [CrossRef] [Green Version]
  57. Krysak, M.; Leeson, M.; Han, E.; Blackwell, J.; Harlson, S. Extending resolution limits of EUV resist materials. In Extreme Ultraviolet (EUV) Lithography VI; SPIE: Bellingham, WA, USA, 2015; Volume 9422, p. 942205. [Google Scholar]
  58. Thackeray, J.; Cameron, J.; Jain, V.; LaBeaume, P.; Coley, S.; Ongayi, O.; Wagner, M.; Rachford, A.; Biafore, J. Progress in resolution, sensitivity, and critical dimensional uniformity of EUV chemically amplified resists. In Advances in Resist Materials and Processing Technology XXX; SPIE: Bellingham, WA, USA, 2013; Volume 8682, p. 868213. [Google Scholar]
  59. Thackeray, J.W.; Nassar, R.A.; Brainard, R.L.; Goldfarb, D.L.; Wallow, T.; Wei, Y.; Mackey, J.; Naulleau, P.P.; Pierson, B.; Solak, H.H. Chemically amplified resists resolving 25 nm 1:1 line: Space features with EUV lithography. In Emerging Lithographic Technologies XI; SPIE: Bellingham, WA, USA, 2007; Volume 6517, p. 651719. [Google Scholar]
  60. Fujii, T.; Matsumaru, S.; Yamada, T.; Komuro, Y.; Kawana, D.; Ohmori, K. Patterning performance of chemically amplified resist in EUV lithography. In Extreme Ultraviolet (EUV) Lithography VII; SPIE: Bellingham, WA, USA, 2016; Volume 9776, p. 97760Y. [Google Scholar]
  61. Yamamoto, H.; Vesters, Y.; Jiang, J.; Simone, D.D.; Vandenberghe, G.; Kozawa, T. Role of Metal Sensitizers for Sensitivity Improvement in EUV Chemically Amplified Resist. J. Photopolym. Sci. Technol. 2018, 31, 747–751. [Google Scholar] [CrossRef] [Green Version]
  62. Fallica, R.; Ekinci, Y. Photoacid generator-polymer interaction on the quantum yield of chemically amplified resists for extreme ultraviolet lithography. J. Mater. Chem. C 2018, 6, 7267–7273. [Google Scholar] [CrossRef]
  63. Lee, H.; Kim, M.; Moon, J.; Park, S.; Lee, B.; Jeong, C.; Cho, M. Multiscale approach for modeling EUV patterning of chemically amplified resist. In Advances in Patterning Materials and Processes XXXVI; SPIE: Bellingham, WA, USA, 2019; Volume 10960, p. 1096008. [Google Scholar]
  64. Brainard, R.; Kruger, S.; Higgins, C.; Revuru, S.; Gibbons, S.; Freedman, D.; Yueh, W.; Younkin, T. Kinetics, Chemical Modeling and Lithography of Novel Acid Amplifiers for Use in EUV Photoresists. J. Photopolym. Sci. Technol. 2009, 22, 43–50. [Google Scholar] [CrossRef] [Green Version]
  65. Sekiguchi, A.; Harada, T.; Watanabe, T. A study on enhancing EUV resist sensitivity. In Extreme Ultraviolet (EUV) Lithography VIII; SPIE: Bellingham, WA, USA, 2017; Volume 10143, p. 1014322. [Google Scholar]
  66. Jiang, J.; Giordano, G.; Fallica, R.; DeSimone, D.; Vandenberghe, G. Sensitizer for EUV Chemically Amplified Resist: Metal versus Halogen. J. Photopolym. Sci. Technol. 2019, 32, 21–25. [Google Scholar] [CrossRef]
  67. Nagahara, S.; Dinh, C.Q.; Yoshida, K.; Shiraishi, G.; Kondo, Y.; Yoshihara, K.; Nafus, K.; Petersen, J.; De Simone, D.; Foubert, P.; et al. EUV resist chemical gradient enhancement by UV flood exposure for improvement in EUV resist resolution, process control, roughness, sensitivity, and stochastic defectivity. In Advances in Patterning Materials and Processes XXXVII; SPIE: Bellingham, WA, USA, 2020; Volume 11326, p. 113260A. [Google Scholar]
  68. Okamoto, K.; Kawai, S.; Kozawa, T. Sensitivity enhancement of chemically amplified EUV resist by adding diphenyl sulfone derivatives. In Advances in Patterning Materials and Processes XXXVII; SPIE: Bellingham, WA, USA, 2020; Volume 11326, p. 113260D. [Google Scholar]
  69. Cardineau, B.; Garczynski, P.; Earley, W.; Brainard, R.L. Chain-Scission Polyethers for EUV Lithography. J. Photopolym. Sci. Technol. 2013, 26, 665–671. [Google Scholar] [CrossRef] [Green Version]
  70. Manouras, T.; Kazazis, D.; Koufakis, E.; Ekinci, Y.; Vamvakaki, M.; Argitis, P. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking. In Extreme Ultraviolet (EUV) Lithography IX; SPIE: Bellingham, WA, USA, 2018; Volume 10583, p. 105831R. [Google Scholar]
  71. Argitis, P.; Vidali, V.P.; Niakoula, D. Chapter 9—Positive molecular resists. In Frontiers of Nanoscience; Robinson, A., Lawson, R., Eds.; Elsevier: Amsterdam, The Netherlands, 2016; Volume 11, pp. 319–348. [Google Scholar]
  72. Echigo, M.; Oguro, D. Development of new phenylcalix [4] resorcinarene: Its application to positive-tone molecular resist for EB and EUV lithography. In Advances in Resist Materials and Processing Technology XXVI; SPIE: Bellingham, WA, USA, 2009; Volume 7273, p. 72732Q. [Google Scholar]
  73. Owada, T.; Yomogita, A.; Kashiwamura, T.; Kusaba, T.; Miyamoto, S.; Takeya, T. Development of novel positive-tone resists for EUVL. In Advances in Resist Materials and Processing Technology XXVI; SPIE: Bellingham, WA, USA, 2009; Volume 7273, p. 72732R. [Google Scholar]
  74. Kudo, H.; Nina, N.; Sato, T.; Oizumi, H.; Itani, T.; Miura, T.; Watanabe, T.; Kinoshita, H. Extreme Ultraviolet (EUV)-Resist Material Based on Noria (Water Wheel-like Macrocycle) Derivatives with Pendant Alkoxyl and Adamantyl Ester Groups. J. Photopolym. Sci. Technol. 2012, 25, 587–592. [Google Scholar] [CrossRef] [Green Version]
  75. Kulshreshtha, P.; Maruyama, K.; Kiani, S.; Dhuey, S.; Perera, P.; Blackwell, J.; Olynick, D.; Ashby, P. Sub-20nm lithography negative tone chemically amplified resists using cross-linker additives. In Advances in Resist Materials and Processing Technology XXX; SPIE: Bellingham, WA, USA, 2013; Volume 8682, p. 86820N. [Google Scholar]
  76. Kulshreshtha, P.K.; Maruyama, K.; Kiani, S.; Blackwell, J.; Olynick, D.L.; Ashby, P.D. Harnessing entropic and enthalpic contributions to create a negative tone chemically amplified molecular resist for high-resolution lithography. Nanotechnology 2014, 25, 315301. [Google Scholar] [CrossRef]
  77. Green, D.P.; Jain, V.; Bailey, B.; Wagner, M.; Clark, M.; Valeri, D.; Lakso, S. Development of molecular resist derivatives for EUV lithography. In Extreme Ultraviolet (EUV) Lithography IV; SPIE: Bellingham, WA, USA, 2013; Volume 8679, p. 867912. [Google Scholar]
  78. Frommhold, A.; McClelland, A.; Roth, J.; Fallica, R.; Ekinci, Y.; Robinson, A.P. Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography. In Extreme Ultraviolet (EUV) Lithography VII; SPIE: Bellingham, WA, USA, 2016; Volume 9776, p. 977614. [Google Scholar]
  79. Frommhold, A.; Yang, D.; McClelland, A.; Roth, J.; Xue, X.; Rosamund, M.C.; Linfield, E.H.; Robinson, A.P.G. Novel Molecular Resist for EUV and Electron Beam Lithography. J. Photopolym. Sci. Technol. 2015, 28, 537–540. [Google Scholar] [CrossRef] [Green Version]
  80. O’Callaghan, G.; Popescu, C.; McClelland, A.; Kazazis, D.; Roth, J.; Theis, W.; Ekinci, Y.; Robinson, A.P. Multi-trigger resist: Novel synthesis improvements for high resolution EUV lithography. In Advances in Patterning Materials and Processes XXXVI; SPIE: Bellingham, WA, USA, 2019; Volume 10960, p. 109600C. [Google Scholar]
  81. Popescu, C.; Frommhold, A.; McClelland, A.; Roth, J.; Ekinci, Y.; Robinson, A.P. Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography. In Extreme Ultraviolet (EUV) Lithography VIII; SPIE: Bellingham, WA, USA, 2017; Volume 10143, p. 101430V. [Google Scholar]
  82. Popescu, C.; Kazazis, D.; McClelland, A.; Dawson, G.; Roth, J.; Theis, W.; Ekinci, Y.; Robinson, A.P. High-resolution EUV lithography using a multi-trigger resist. In Extreme Ultraviolet (EUV) Lithography IX; SPIE: Bellingham, WA, USA, 2018; Volume 10583, p. 105831L. [Google Scholar]
  83. Popescu, C.; Vesters, Y.; McClelland, A.; De Simone, D.; Dawson, G.; Roth, J.; Theis, W.; Vandenberghe, G.; Robinson, A.P.G. Multi Trigger Resist for EUV Lithography. J. Photopolym. Sci. Technol. 2018, 31, 227–232. [Google Scholar] [CrossRef] [Green Version]
  84. Popescu, C.; O’Callaghan, G.; McClelland, A.; Roth, J.; Lada, T.; Robinson, A.P. Performance enhancements with high opacity multi-trigger resist. In Advances in Patterning Materials and Processes XXXVII; SPIE: Bellingham, WA, USA, 2020; Volume 11326, p. 1132611. [Google Scholar]
  85. Kudo, H.; Hayashi, R.; Mitani, K.; Yokozawa, T.; Kasuga, N.C.; Nishikubo, T. Molecular waterwheel (Noria) from a simple condensation of resorcinol and an alkanedial. Angew. Chem. Int. Ed. 2006, 45, 7948–7952. [Google Scholar] [CrossRef]
  86. Moreau, W.M. Semiconductor Lithography, Principles, Particles and Materials; Plenum Press: New York, NY, USA, 1988. [Google Scholar]
  87. Pasparakis, G.; Manouras, T.; Argitis, P.; Vamvakaki, M. Photodegradable Polymers for Biotechnological Applications. Macromol. Rapid Commun. 2012, 33, 183–198. [Google Scholar] [CrossRef]
  88. Tiwale, N.; Subramanian, A.; Kisslinger, K.; Lu, M.; Kim, J.; Stein, A.; Nam, C.-Y. Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists. J. Mater. Chem. C 2019, 7, 8803–8812. [Google Scholar] [CrossRef]
  89. Fallica, R.; Kirchner, R.; Ekinci, Y.; Mailly, D. Comparative study of resists and lithographic tools using the Lumped Parameter Model. J. Vac. Sci. Technol. B 2016, 34, 06K702. [Google Scholar] [CrossRef]
  90. Hosaka, Y.; Oyama, T.G.; Oshima, A.; Enomoto, S.; Washio, M.; Tagawa, S. Pulse Radiolysis Study on a Highly Sensitive Chlorinated Resist ZEP520A. J. Photopolym. Sci. Technol. 2013, 26, 745–750. [Google Scholar] [CrossRef] [Green Version]
  91. Oyama, T.G.; Enomoto, K.; Hosaka, Y.; Oshima, A.; Washio, M.; Tagawa, S. Electron-Beam-Induced Decomposition Mechanisms of High-Sensitivity Chlorinated Resist ZEP520A. Appl. Phys. Express 2012, 5, 036501. [Google Scholar] [CrossRef]
  92. Fallica, R.; Kazazis, D.; Kirchner, R.; Voigt, A.; Mochi, I.; Schift, H.; Ekinci, Y. Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography. J. Vac. Sci. Technol. B 2017, 35, 061603. [Google Scholar] [CrossRef] [Green Version]
  93. Sharma, S.K.; Pal, S.P.; Reddy, P.G.; Kumar, P.; Ghosh, S.; Gonsalves, K.E. Design and development of low activation energy based nonchemically amplified resists (n-CARs) for next generation EUV lithography. Microelectron. Eng. 2016, 164, 115–122. [Google Scholar] [CrossRef]
  94. Oyama, T.G.; Oshima, A.; Tagawa, S. Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam. AIP Adv. 2016, 6, 085210. [Google Scholar] [CrossRef] [Green Version]
  95. Rathore, A.; Pollentier, I.; Singh, H.; Fallica, R.; De Simone, D.; De Gendt, S. Effect of molecular weight on the EUV-printability of main chain scission type polymers. J. Mater. Chem. C 2020, 8, 5958–5966. [Google Scholar] [CrossRef]
  96. Trikeriotis, M.; Bae, W.J.; Schwartz, E.; Krysak, M.; Lafferty, N.; Xie, P.; Smith, B.; Zimmerman, P.; Ober, C.; Giannelis, E. Development of an inorganic photoresist for DUV, EUV, and electron beam imaging. In Advances in Resist Materials and Processing Technology XXVII; SPIE: Bellingham, WA, USA, 2010; Volume 7639, p. 76390E. [Google Scholar]
  97. Trikeriotis, M.; Krysak, M.; Chung, Y.S.; Ouyang, C.; Cardineau, B.; Brainard, R.; Ober, C.; Giannelis, E.; Cho, K. A new inorganic EUV resist with high-etch resistance. In Extreme Ultraviolet (EUV) Lithography III; SPIE: Bellingham, WA, USA, 2012; Volume 8322, p. 83220U. [Google Scholar]
  98. Kosma, V.; Kasahara, K.; Xu, H.; Odent, J.; Ober, C.; Giannelis, E. Elucidating the patterning mechanism of zirconium-based hybrid photoresists. J. Micro Nanolithogr. MEMS MOEMS 2017, 16, 041007. [Google Scholar] [CrossRef]
  99. Cardineau, B.; Del Re, R.; Marnell, M.; Al-Mashat, H.; Vockenhuber, M.; Ekinci, Y.; Sarma, C.; Freedman, D.A.; Brainard, R.L. Photolithographic properties of tin-oxo clusters using extreme ultraviolet light (13.5 nm). Microelectron. Eng. 2014, 127, 44–50. [Google Scholar] [CrossRef]
  100. Passarelli, J.; Murphy, M.; Del Re, R.; Sortland, M.; Hotalen, J.; Dousharm, L.; Fallica, R.; Ekinci, Y.; Neisser, M.; Freedman, D.; et al. Organometallic carboxylate resists for extreme ultraviolet with high sensitivity. J. Micro Nanolithogr. MEMS MOEMS 2015, 14, 043503. [Google Scholar] [CrossRef]
  101. Sortland, M.; Del Re, R.; Passarelli, J.; Hotalen, J.; Vockenhuber, M.; Ekinci, Y.; Neisser, M.; Freedman, D.; Brainard, R. Positive-tone EUV resists: Complexes of platinum and palladium. In Extreme Ultraviolet (EUV) Lithography VI; SPIE: Bellingham, WA, USA, 2015; Volume 9422. [Google Scholar]
  102. Fujimori, T.; Tsuchihashi, T.; Minegishi, S.; Kamizono, T.; Itani, T. Novel ultra-high sensitive ‘metal resist’ for EUV lithography. In Extreme Ultraviolet (EUV) Lithography VII; SPIE: Bellingham, WA, USA, 2016; Volume 9776, p. 977605. [Google Scholar]
  103. Li, L.; Chakrabarty, S.; Spyrou, K.; Ober, C.K.; Giannelis, E.P. Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photopatterning. Chem. Mater. 2015, 27, 5027–5031. [Google Scholar] [CrossRef]
  104. Grenville, A.; Anderson, J.; Clark, B.; De Schepper, P.; Edson, J.; Greer, M.; Jiang, K.; Kocsis, M.; Meyers, S.; Stowers, J.; et al. Integrated fab process for metal oxide EUV photoresist. In Advances in Patterning Materials and Processes XXXII; SPIE: Bellingham, WA, USA, 2015; Volume 9425, p. 94250S. [Google Scholar]
  105. Hinsberg, W.; Meyers, S. A numeric model for the imaging mechanism of metal oxide EUV resists. In Advances in Patterning Materials and Processes XXXIV; SPIE: Bellingham, WA, USA, 2017; Volume 10146, p. 1014604. [Google Scholar]
  106. Xu, H.; Sakai, K.; Kasahara, K.; Kosma, V.; Yang, K.; Herbol, H.C.; Odent, J.; Clancy, P.; Giannelis, E.P.; Ober, C.K. Metal-Organic Framework-Inspired Metal-Containing Clusters for High-Resolution Patterning. Chem. Mater. 2018, 30, 4124–4133. [Google Scholar] [CrossRef]
  107. Zhang, Y.; Haitjema, J.; Baljozovic, M.; Vockenhuber, M.; Kazazis, D.; Jung, T.A.; Ekinci, Y.; Brouwer, A.M. Dual-tone Application of a Tin-Oxo Cage Photoresist Under E-beam and EUV Exposure. J. Photopolym. Sci. Technol. 2018, 31, 249–255. [Google Scholar] [CrossRef] [Green Version]
  108. Sitterly, J.; Murphy, M.; Grzeskowiak, S.; Denbeaux, G.; Brainard, R. Molecular organometallic resists for EUV (MORE): Reactivity as a function of metal center (Bi, Sb, Te and Sn). In Advances in Patterning Materials and Processes XXXV; SPIE: Bellingham, WA, USA, 2018; Volume 10586, p. 105861P. [Google Scholar]
  109. Rantala, J.; Gädda, T.; Laukkanen, M.; Dang, L.N.; Karaste, K.; Kazizis, D.; Ekinci, Y. New resist and underlayer approaches toward EUV lithography. In Proceedings of the International Conference on Extreme Ultraviolet Lithography, Monterey, CA, USA, 17–20 September 2018; SPIE: Bellingham, WA, USA, 2018; Volume 10809, p. 108090X. [Google Scholar]
  110. Gädda, T.; Luong, N.D.; Laukkanen, M.; Karaste, K.; Kähkönen, O.; Kauppi, E.; Kazazis, D.; Ekinci, Y.; Rantala, J. Advanced EUV negative tone resist and underlayer approaches exhibiting sub-20 nm half-pitch resolution. In Advances in Patterning Materials and Processes XXXVI; SPIE: Bellingham, WA, USA, 2019; Volume 10960, p. 109600B. [Google Scholar]
  111. Thakur, N.; Tseng, L.-T.; Vockenhuber, M.; Ekinci, Y.; Castellanos, S. Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters. J. Micro Nanolithogr. MEMS MOEMS 2019, 18, 043504. [Google Scholar] [CrossRef] [Green Version]
  112. Mattson, E.C.; Cabrera, Y.; Rupich, S.M.; Wang, Y.X.; Oyekan, K.A.; Mustard, T.J.; Halls, M.D.; Bechtel, H.A.; Martin, M.C.; Chabal, Y.J. Chemical Modification Mechanisms in Hybrid Hafnium Oxo-methacrylate Nanocluster Photoresists for Extreme Ultraviolet Patterning. Chem. Mater. 2018, 30, 6192–6206. [Google Scholar] [CrossRef]
  113. Wu, L.; Baljozovic, M.; Portale, G.; Kazazis, D.; Vockenhuber, M.; Jung, T.; Ekinci, Y.; Castellanos Ortega, S. Mechanistic insights in Zr- and Hf-based molecular hybrid EUV photoresists. J. Micro Nanolithogr. MEMS MOEMS 2019, 18, 013504. [Google Scholar] [CrossRef]
  114. Haitjema, J.; Zhang, Y.; Ottosson, N.; Brouwer, A.M. Photoreactions of Tin Oxo Cages, Model EUV Photoresists. J. Photopolym. Sci. Technol. 2017, 30, 99–102. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Extreme ultra violet (EUV) technology characteristics: (a) Emission of different sources based on Sn, Xe, and Li at the EUV spectral region and calculated near normal incidence reflectivity of a 11 mirror system in the same area. Adapted from [25], with permission from IOP Publishing, 2020 (b) A scheme of a EUV lithography system where the different parts, including source, illuminator, reticle stage (mask), and projection optics are depicted. Adapted from [17], with permission from De Gruyter, 2020.
Figure 1. Extreme ultra violet (EUV) technology characteristics: (a) Emission of different sources based on Sn, Xe, and Li at the EUV spectral region and calculated near normal incidence reflectivity of a 11 mirror system in the same area. Adapted from [25], with permission from IOP Publishing, 2020 (b) A scheme of a EUV lithography system where the different parts, including source, illuminator, reticle stage (mask), and projection optics are depicted. Adapted from [17], with permission from De Gruyter, 2020.
Nanomaterials 10 01593 g001
Figure 2. Photoemission cross sections at 92 eV calculated for selected atoms in Mb (Megabarn), where 1 Mb = 10−22 m2 in SI units. Figure adapted from [30], with permission from Elsevier, 2020.
Figure 2. Photoemission cross sections at 92 eV calculated for selected atoms in Mb (Megabarn), where 1 Mb = 10−22 m2 in SI units. Figure adapted from [30], with permission from Elsevier, 2020.
Nanomaterials 10 01593 g002
Figure 3. Photoelectron spectra of gas-phase molecules measured using 13.5 nm (92 eV) EUV radiation. Adapted from [29], with permission from AIP publishing, 2020. The kinetic energy of photoelectrons is shown in x axis. The black line corresponds to experimental data, and the red line corresponds to the model. (a) 2-methylphenol, (b) 4-fluoro-2-methylphenol, (c) 4-chloro-2-methylphenol, (d) 4-bromo-2-methylphenol, (e) 4-iodo-2-methylphenol, and (f) 2,3,5,6-tetrafluoro-4-(trifluoromethyl)phenol. The presented data clarify the importance of the inclusion of certain atoms in the resist composition. For instance, from the data presented it is clear that the inclusion of I in the resist composition is expected to greatly enhance the material absorption at EUV.
Figure 3. Photoelectron spectra of gas-phase molecules measured using 13.5 nm (92 eV) EUV radiation. Adapted from [29], with permission from AIP publishing, 2020. The kinetic energy of photoelectrons is shown in x axis. The black line corresponds to experimental data, and the red line corresponds to the model. (a) 2-methylphenol, (b) 4-fluoro-2-methylphenol, (c) 4-chloro-2-methylphenol, (d) 4-bromo-2-methylphenol, (e) 4-iodo-2-methylphenol, and (f) 2,3,5,6-tetrafluoro-4-(trifluoromethyl)phenol. The presented data clarify the importance of the inclusion of certain atoms in the resist composition. For instance, from the data presented it is clear that the inclusion of I in the resist composition is expected to greatly enhance the material absorption at EUV.
Nanomaterials 10 01593 g003
Figure 4. Fluorinated PAGs especially designed for EUV (upper row) and traditional PAGs (lower row) that were used for comparison in epoxy-based resist formulations. Adapted from [43], with permission from John Wiley & Sons, 2020.
Figure 4. Fluorinated PAGs especially designed for EUV (upper row) and traditional PAGs (lower row) that were used for comparison in epoxy-based resist formulations. Adapted from [43], with permission from John Wiley & Sons, 2020.
Nanomaterials 10 01593 g004
Figure 5. Polymers based on the environmentally stable chemical amplification photoresist (ESCAP) approach that are discussed in EUV resist formulations in ref [43]. The copolymer (left) consisted of poly(p-hydroxy styrene)-r-poly(t-butyl acrylate), the terpolymer (right) consisted of poly(p-hydroxy styrene)-r-poly(styrene)-r-poly(t-butyl acrylate).
Figure 5. Polymers based on the environmentally stable chemical amplification photoresist (ESCAP) approach that are discussed in EUV resist formulations in ref [43]. The copolymer (left) consisted of poly(p-hydroxy styrene)-r-poly(t-butyl acrylate), the terpolymer (right) consisted of poly(p-hydroxy styrene)-r-poly(styrene)-r-poly(t-butyl acrylate).
Nanomaterials 10 01593 g005
Figure 6. Chemical structure of the backbone breakable random copolymer. The copolymer is consisted of three different monomers connected by an acid labile bond. Each monomer introduces or tunes a specific property of the polymer. Adapted from [70], with permission from SPIE and the author Theodore Manouras, 2020.
Figure 6. Chemical structure of the backbone breakable random copolymer. The copolymer is consisted of three different monomers connected by an acid labile bond. Each monomer introduces or tunes a specific property of the polymer. Adapted from [70], with permission from SPIE and the author Theodore Manouras, 2020.
Nanomaterials 10 01593 g006
Figure 7. Examples of different cores for molecular glass resists that have been used for EUV lithography. (a) Noria molecule introduced in [85], graph adapted from [85], with permission from John Wiley & Sons, 2020, (b) a generic calixarene structure that has been the basis of a number of resist formulations and (c) basic components of a multi-triggered resist i.e., a molecular resin and a crosslinker, adapted from [83], with permission from The Society of Photopolymer Science and Technology, 2020.
Figure 7. Examples of different cores for molecular glass resists that have been used for EUV lithography. (a) Noria molecule introduced in [85], graph adapted from [85], with permission from John Wiley & Sons, 2020, (b) a generic calixarene structure that has been the basis of a number of resist formulations and (c) basic components of a multi-triggered resist i.e., a molecular resin and a crosslinker, adapted from [83], with permission from The Society of Photopolymer Science and Technology, 2020.
Nanomaterials 10 01593 g007
Figure 8. Example of a photodegradation mechanism for poly(methyl methacrylate) (PMMA) and its derivatives. Different atoms or groups strongly influence the properties of the corresponding resists including sensitivity and etch resistance. Irradiation of the polymer leads to the breaking of the main chain resulting to its depolymerization Adapted from [87], with permission from John Wiley & Sons, 2020.
Figure 8. Example of a photodegradation mechanism for poly(methyl methacrylate) (PMMA) and its derivatives. Different atoms or groups strongly influence the properties of the corresponding resists including sensitivity and etch resistance. Irradiation of the polymer leads to the breaking of the main chain resulting to its depolymerization Adapted from [87], with permission from John Wiley & Sons, 2020.
Nanomaterials 10 01593 g008
Figure 9. Scheme representing the patterning of the Tin-OH material. (a) The unexposed resist is removed completely during the development. (b) For electron exposure with E < 2 eV, only a small fraction of electrons impinging on the surface reach the material and only low conversion is attained. (c) For E > 2 eV, as the incident dose increases, consecutive reactions lead to the insoluble products B (denser than A) and C (denser than B). (d) Schematic representation of the initial Tin-OH molecular material A and of the two insoluble networks B and C. Blue ellipses represent the Sn-based inorganic core and orange bars the butyl chain. Adapted from [40] (https://pubs.acs.org/doi/10.1021/acsami.9b19004), with permission from American Chemical Society, 2020.
Figure 9. Scheme representing the patterning of the Tin-OH material. (a) The unexposed resist is removed completely during the development. (b) For electron exposure with E < 2 eV, only a small fraction of electrons impinging on the surface reach the material and only low conversion is attained. (c) For E > 2 eV, as the incident dose increases, consecutive reactions lead to the insoluble products B (denser than A) and C (denser than B). (d) Schematic representation of the initial Tin-OH molecular material A and of the two insoluble networks B and C. Blue ellipses represent the Sn-based inorganic core and orange bars the butyl chain. Adapted from [40] (https://pubs.acs.org/doi/10.1021/acsami.9b19004), with permission from American Chemical Society, 2020.
Nanomaterials 10 01593 g009
Figure 10. A summary of the most promising research directions that are currently explored toward high sensitivity and high performance EUV resists.
Figure 10. A summary of the most promising research directions that are currently explored toward high sensitivity and high performance EUV resists.
Nanomaterials 10 01593 g010
Table 1. Performance characteristics (see text) of the most promising resists materials reviewed based on different design approaches.
Table 1. Performance characteristics (see text) of the most promising resists materials reviewed based on different design approaches.
Design PrincipleMaterialsResolution Sensitivity
(Dose to Size)
Pattern Quality (LER-LWR)
CAR [45]Polymeric30 nm<20 mJ/cm2-
CAR [46]Polymer bound PAG24 nm14 mJ/cm25.3 nm
CAR [47]Polymer bound PAG-increased Hydrophobicity16 nm24 mJ/cm23 nm
CAR [48]Polymeric15 nm25–30 mJ/cm26 nm
CAR [56]Polymeric with different PAGs13 nm35.5 mJ/cm2
CAR [59]Polymeric20 nm31 mJ/cm2-
CAR [60]Polymeric14 nm43 mJ/cm25.8 nm
CAR [64]Polymeric with Acid Amplifier (AA)60 nm1.9 mJ/cm27.9 nm
CAR-Multi-triggered resist [80,81,82,83]Molecular12.7 nm53 mJ/cm24.2 nm
CAR [69]Polymeric-main chain scission20 nm4 mJ/cm2-
CAR [72]Molecular45 nm10.3 mJ/cm2-
CAR [73]Molecular45 nm9.5 mJ/cm26.2 nm
CAR [74]Molecular26 nm14.5 mJ/cm2-
CAR [76]Molecular20 nm40.5 mJ/cm23.2 nm
CAR [77]Molecular28 nm22 mJ/cm23.7 nm
CAR [78,79]Molecular14 nm36.1 mJ/cm23.26 nm
Non-CAR [93]Polymeric22 nm78 mJ/cm2<6 nm
Non-CAR [94]Polymeric20 nm26.6 mJ/cm2-
Non-CAR [95]polymeric50 nm52 mJ/cm24.1 nm
Inorganic [97,98]Nanoparticles26 nm4.2 mJ/cm2-
Inorganic [101]Clusters18 nm350 mJ/cm2-
Organometallic [102]Molecular35 nm5.6 mJ/cm2-
Organometallic [103]Complexes30 nm90 mJ/cm25.5 nm
Metal [104]-17 nm7 mJ/cm25.6 nm
Metal oxide [105]-13 nm35 mJ/cm2-
Metal-organic [107]Clusters13 nm35 mJ/cm2-
Metal [108]Complexes50 nm53.5 mJ/cm2-
Organohydrogen silsesquioxane [110]Molecule22 nm65.4 mJ/cm21.4 nm
Metal oxide [112]Clusters25 nm37 mJ/cm2-

Share and Cite

MDPI and ACS Style

Manouras, T.; Argitis, P. High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results. Nanomaterials 2020, 10, 1593. https://doi.org/10.3390/nano10081593

AMA Style

Manouras T, Argitis P. High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results. Nanomaterials. 2020; 10(8):1593. https://doi.org/10.3390/nano10081593

Chicago/Turabian Style

Manouras, Theodore, and Panagiotis Argitis. 2020. "High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results" Nanomaterials 10, no. 8: 1593. https://doi.org/10.3390/nano10081593

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop