Next Article in Journal
Efficacy of Polymer-Based Nanocarriers for Co-Delivery of Curcumin and Selected Anticancer Drugs
Next Article in Special Issue
Strained Si0.2Ge0.8/Ge multilayer Stacks Epitaxially Grown on a Low-/High-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium
Previous Article in Journal
Nanostructured Color Filters: A Review of Recent Developments
Previous Article in Special Issue
Effects of 5 MeV Proton Irradiation on Nitrided SiO2/4H-SiC MOS Capacitors and the Related Mechanisms
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

State of the Art and Future Perspectives in Advanced CMOS Technology

by
Henry H. Radamson
1,2,3,4,*,†,
Huilong Zhu
1,†,
Zhenhua Wu
1,†,
Xiaobin He
1,†,
Hongxiao Lin
1,2,†,
Jinbiao Liu
1,†,
Jinjuan Xiang
1,†,
Zhenzhen Kong
1,†,
Wenjuan Xiong
1,3,†,
Junjie Li
1,3,†,
Hushan Cui
5,†,
Jianfeng Gao
1,†,
Hong Yang
1,†,
Yong Du
1,3,†,
Buqing Xu
1,3,†,
Ben Li
2,†,
Xuewei Zhao
1,6,†,
Jiahan Yu
1,†,
Yan Dong
1,† and
Guilei Wang
1,2,3,*,†
1
Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
2
Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China
3
Institute of Microelectronics, University of Chinese Academy of Sciences, Beijing 100049, China
4
Department of Electronics Design, Mid Sweden University, Holmgatan 10, 85170 Sundsvall, Sweden
5
Jiangsu Leuven Instruments, Xuzhou 221300, China
6
School of Cyberscience, University of Science and Technology of China, Hefei 230026, China
*
Authors to whom correspondence should be addressed.
The authors have equally contributed in this article.
Nanomaterials 2020, 10(8), 1555; https://doi.org/10.3390/nano10081555
Submission received: 19 June 2020 / Revised: 27 July 2020 / Accepted: 28 July 2020 / Published: 7 August 2020
(This article belongs to the Special Issue Nanomaterials Based on IV-Group Semiconductors)

Abstract

:
The international technology roadmap of semiconductors (ITRS) is approaching the historical end point and we observe that the semiconductor industry is driving complementary metal oxide semiconductor (CMOS) further towards unknown zones. Today’s transistors with 3D structure and integrated advanced strain engineering differ radically from the original planar 2D ones due to the scaling down of the gate and source/drain regions according to Moore’s law. This article presents a review of new architectures, simulation methods, and process technology for nano-scale transistors on the approach to the end of ITRS technology. The discussions cover innovative methods, challenges and difficulties in device processing, as well as new metrology techniques that may appear in the near future.

1. Introduction

The down-scaling of complementary metal oxide semiconductor (CMOS) has followed Moore’s law for decades, where different parts of the transistor’s structure were shrank down by a constant factor in order to obtain lower power consumption [1]. However, the miniaturization trend of CMOS goes very differently nowadays as guided by an international roadmap for devices and systems (IRDS) [2]. By entering the data-centric era [3], the CMOS scaling is focusing more and more on low voltages, cost-effective processes, and high performance to meet the requirements of high-end mobile applications. Thus, several “new techniques” were introduced into the modern devices e.g., channel strain, stress boosters, high-κ metal gate, various silicides, etc. Beyond those inventions, the shape of CMOS has already been changed from planar to 3D by overcoming a lot of integration issues [4]. By entering the 10 nm technology node, pure silicon-based channel is being gradually replaced with silicon-germanium (SiGe) or germanium (Ge), and III-V materials, because they have better mobility of- 40,000 cm2V−1s−1 for InGaAs (for electrons) and 1900 cm2V−1s−1for Ge (for holes) compared to 1400 cm2V−1s−1 for electrons and 450 cm2V−1s−1 for holes of silicon [5,6]. Not only are the channel materials changing, but so is device shape, from simple fin-like to fully depleted on insulator or nanowire ones [7].
As a result, the most promising transistor architectures may be fin-on-insulator (FOI) Fin Field-Effect Transistor (FinFET) [8,9,10,11], scalloped fin FinFET [12], and NW field effect transistors (FETs) [13,14,15]. These new transistor designs have shown better control of short channel effects (SCEs), low leakage junctions, and high carrier mobility. One interesting property of FOI FinFET is the advantage that bulk FinFET and SOI technologies are merged in these transistors for a better platform in the technology roadmap. Moreover, a recent report from IMECAS has demonstrated a simple and cost effective fully metallic source and drain (MSD) process for FOI FinFETs with a gate length of 20 nm where Ion reaches up to 547 μA/μm and 486 μA/μm for NMOS and PMOS, respectively [9]. These results give an excellent potential solution for future nano-scale transistors. The scalloped fin FinFET including all previous HKMG technology also has a large control area and provides a great improvement of SCEs.
One of the most discussed approach for nano-scale transistors is vertical or horizontal gate-all-around (vGAA or hGAA) FETs. Many reports indicate these GAA-designs as excellent candidate for 3 nm node (and beyond) due to their device characteristics e.g., steep sub-threshold slop (SS), quasi-ballistic transport, and one-dimensional channel structure [13,14].
This article presents the essential issues for design and processing of the advanced nano-scale transistors following to the end of technology roadmap and beyond Moore. The content is divided into four parts:
Part one contains the design of hGAA-FET and vGAA-FET and device simulation including advanced TCAD for nanoscale transistor development.
Part two covers process technology for nanoscale transistors. The discussions include advanced lithography for nano-scale patterning, epitaxy of SiGe as stressor material in S/D of nano-scale transistors or SiGe/Si multilayers for vGAA-FET, dopant implantation and adavanced doping technology, HKMG and metal gate using atomic layer deposition (ALD) technique, strain engineering using Si nitride films, etching of transistor structures and channel release, wet cleaning, BEOL interconnect materials, and process dependent reliability.
Part three presents the possible channel materials for beyond the Moore era. This part covers the growth and application of III-V materials and 2D crystal for high carrier mobility FinFETs, photodetectors, and sensors.
Part four contains metrology technologies, which can be used for in-line monitoring and nano-analysis including advanced electron microscopy, 3D atom force microscope techniques, 3D Atom probe tomography, X-ray techniques, optical critical dimension (CD), and hybrid metrology along with artificial intelligence.
The novelty of this review article stems from the well-organized description of scientific and technical issues of CMOS technology at the present time and in the future. The tactical approach provides unique knowledge for the readers about the challenges and difficulties to design, process, and finally to measure the nanoscale transistors.
Part One: Advanced Designs of Nano-Scale Transistors

2. This Part Covers the Transistor Designs to the End of Technology Roadmap and Beyond Moore

2.1. New Device Structure-Vertical Gate-All-Around FETs

Although FinFET has made enormous contributions to the IC industry since it was proposed in 1999 [16] and introduced into massive production in 2012 at the 22 nm technology node [17], it is difficult to meet the requirements of the performance and power consumption for further scaling down of device area. Gate-all-around (GAA) FET is a strong candidate for 3 nm technology, owing to its high performance and superiority in the control of SCEs [3,18]. In general, there are two kinds of GAAFETs, namely, horizontal GAAFET (hGAAFET) and vertical GAAFET (vGAAFET), depending on channel orientations. When the channel of a GAAFET is in parallel with the wafer/substrate surface, the transistor is called hGAAFET [19,20,21], but when the multiple horizontal channels are vertically stacked then the architecture is considered as multiple bridge channel FET (MBCFET) [22]. If the channel of a GAAFET is along the wafer/substrate surface, the GAAFET is called vGAAFET [23].
Intensive studies for hGAAFETs have been done by several research groups [13,15,19,20,21,22,24,25]. The first hGAAFET was presented by Colinge et al. [19]. In this field, the nanowire size-effects on the electrical properties were studied in reference [26] while how to enhance single device performance for the hGAAFETs with vertically stacked nanowire/nanosheet were presented in references [13,20,21,27]. In order to reduce parasitic capacitance and improve reliability, inner spacers were introduced [28] for hGAAFETs. High mobility materials, such as strained Si, Ge, InAs, GaAs, and InGaAs, were used to enhance the performance of hGAAFETs [29,30,31,32]. Compared with hGAAFETs, vGAAFETs have fewer constraints with respect to gate length and source/drain contact area [33,34] and have great potential for increasing the integration density [19,35]. In this section, we shall mainly focus on reviewing the progress of the vGAAFETs developed to explore novel device architectures and integration schemes more/beyond Moore applications.
The typical structures of vertical nanosheet and nanowire GAAFETs are shown in Figure 1. The main advantage of vGAAFETs over hGAAFETs is that they have more integration freedom in the vertical direction than hGAAFETs do. The limitations of gate length, spacer width, and source/drain contact area for hGAAFETs [36] can be relaxed for vGAAFETs and then integration density is increased [37]. It is also good for vGAAFETs to use high mobility materials for their channels, which usually have small carrier effective masses and large tunneling leakage current, since relatively long gate length could be applied.
Several fabrication methods for vGAAFETs were proposed, including bottom-up [38,39,40,41,42] and top-down [43,44,45,46] based on the ways to form vertical device channels. TEM cross-section for a Si channel vGAAFET with channel-last bottom-up method is shown in Figure 2 [38]. The method concerns forming bottom borosilicate glass (BSG), gate layer, and top BSG layers at first, and then etching channel holes through these layers stopping on the bottom Si. A Si nanowire was formed to refill the hole by selective epitaxial growth from the bottom Si. Source/drain was then formed by an annealing treatment to drive the dopants from the BSG layers into the epitaxial Si and self-align to the gate. The gate length was well controlled by the film deposition. This process is also compatible with the scheme of replacement metal gate. However, when the diameter of the channel hole becomes smaller and the aspect ratio becomes larger, especially for vertically stacked multi-device-layer structures, this makes it difficult to form high-quality single crystal channel, which is particularly important for high-performance logic circuits.
Another bottom-up method forms the channel at first, as several groups have demonstrated in III-V vGAAFET researches [41,47,48]. The vertical channel is highly relevant for III-V materials since they suffer from large off-current due to narrow band gap. The high electron mobility of III-V compounds and relaxation of gate length scaling may offer descent on-off ratio for III-V vGAAFETs. Therefore, III-V compound semiconductors have great potential to replace Si [49] as channel materials for nMOS [50] as shown in Figure 3.
Figure 4 shows tilt views of the SEMs for InAs vGAAFETs fabricated successfully in reference [41]. The InAs vGAAFETs were fabricated on Si (111) wafer. The nanowires were grown using metal organic vapor-phase epitaxy (MOVPE) and using the vapor-liquid-solid (VLS) method from electron-beam the positions and size of the Au particles as the catalyst were defined. The method of VLS has been widely used to grow nanowires with different materials, such as Si, SiGe, InAs, and InP [51,52,53,54,55]. The transfer and output characteristics of an InAs vGAAFET consisting of 280 nanowires in parallel with a diameter of 28 nm and a gate length of 190 nm are shown in Figure 5, indicating excellent on- and off-device-performance.
Top-down methods are employed to make vGAAFETs with the better reproducibility and less defectivity than bottom-up. For instances, the size of nanowires can be well defined by lithography while the channel can be formed on entire wafers [45] or high-quality films [31] instead of growth with small catalyst caps [41,55] or through small channel holes [38]. Figure 6a shows an InGaAs nanosheet FET made by top-down method [45]. The transfer characteristics of an In0.53Ga0.47As vGAAFET with channel length of 100 nm, diameter 35 nm, and CET 1.62 nm, are shown in Figure 6b. For these transistors, excellent Q-value (Gm/SS = 21) and Ion (= 379 uA/um @Ioff = 100 nA) were achieved.
Compared with hGAAFETs, vGAAFETs have many new process issues since their fabrication process is quite different from FinFETs. A key challenge for the fabrication of vGAAFETs is to improve the precise control in vertical direction [56]. Gate length variation of vGAAFETs is a serious problem since it is usually controlled by the time of the etch process after gate metal deposition [39,45]. Although aniostropic deposition of gate metal was used to form 15 nm gate length for relatively large nanowire-pitch (NP) [57] or the deposition of HDP (high-density plasma) oxide film was utilized to determine gate length, it is still a big challenge when the NP is scaled down and the aspect ratio between nanowire height and NP is large. Another method to define gate length is that an electron-beam resist was spun on to cover nanowires and only the top part of the resist was exposed with electron-beam, where the exposed part is removed after development and the thickness of the left part of the resist and dielectric spacer is formed later on to determine the gate length [41]. Since the thickness of the resist is much thicker than gate length, the relatively large process variation, within-wafer or wafer-to-wafer, will be transferred to gate length variation. In addition, nonsymmetrical source/drain and misalignment of gate to channel may pose challenges to circuit design and cause the degradation of device performance. To address those problems, we recently reported a new integration flow to make vGAAFETs with self-aligned high-k metal gates and small effective-gate-length variation [46]. The vGAAFET is called vertical sandwich GAAFET or VSAFET. The integration flow with top-down method and the corresponding structures after key steps are shown in Figure 7.
The process starts with deposition of p-Si/i-SiGe/p-Si multi-layer on blank wafers. Later, nano-pillars and arms that connect the nano-pillars are formed by electron-beam lithography and anisotropic etch. A quasi-atomic-layer-etch [58] is developed and applied to selectively etch/recess the i-SiGe layer to form vertical nanowire/nanosheet channels with precise size control but at the same time to achieve gate-position self-alignment. Gate length is well-defined by the thickness of epitaxial i-SiGe layer. SIMS profiles in the p-Si/i-SiGe/p-Si film and TEM cross-sections of an NS pVSAFET are shown in Figure 8. Excellent electrical properties of an NS device with channel thickness of 20 nm and gate length of 60 nm were obtained: Drain-induced barrier lowering (DIBL) = 40 mV, SSsat = 86 mV/dec, Ion = 37.6 uA/um, Ion/Ioff = 1.8 × 105, and Vd = 0.65 V.
To increase integration density, it is necessary to re-design local and global 3D interconnect [56]. Interconnection delay becomes more and more of a concern for IC performance. Comparing vGAAFETs with hGAAFETs and FinFETs, it was reported that vGAAFETs achieves significant reduction of parasitic capacitance and wirelength [59]. A design of buried interconnects for vGAAFETs was proposed as shown in Figure 9 [60]. In the design, the lateral interconnects, M1-V and M3-V, for both Source and Drain sides were used. It turned out that it is necessary to use M0-H as routing as well as to use layers above M3. The proposed structure is based on the importance of the Source side (S: M1-V) as well as the Drain side (D: M3-V) in the routing perspective. Compared with hGAAFETs, averaged over 12 standard cells, the use of vGAAFETs achieves 22.5%, 14.4%, and 28.4% reductions of area, wirelength, and capacitance, respectively.
Another advantage of vGAAFETs is that it can realize multi-layer vertical stacking, thereby further reducing the area of standard cells. In the simulation of Satish Maheshwaram et al. [60], two-layer stacked vGAAFETs can reduce the area by 20% compared to single-layer CMOS.

2.2. Tunneling Field-Effect Transistor (TFET) Approach

To reduce power consumption of metal oxide field effect transistors (MOSFETs) without degradation of device performance, it is required that MOSFETs’ operating voltage (Vdd) and threshold voltage (Vth) are simultaneously scaled down. The leakage current or power consumption is increased due to lowering Vth if the sub-thresheld swing (SS) of MOSFETs can not be reduced. Therefore, it is desirable to reduce the value or to break thermal dynamic limit, 60 mV/dec, of the SS. The tunneling field-effect transistor (TFET), which is based on band-to-band quantum tunneling, is one of the most promising steep slope devices for low power applications [61].
To achieve good tunneling performance, a vertical Si TFET was fabricated and tested in 2000 [62]. Different semiconductor materials (Si or III-V) have been used for TFETs [62,63]. Vertical InAs/InGaAsSb/GaSb nanowire GAA TFETs, whose channel diameters are 20, 15, and 10 nm, were made and operated with SS well below 60 mV/decade [63]. The fabricated devices and I–V characteristics are shown in Figure 10.

3. Advanced TCAD for Nanoscale Transistor Development

Technology computer-aided design (TCAD) takes advantages of physical models and modern high-performance computing powers to provide predictive perspective in semiconductor technology research and manufacturing. TCAD effectively bridges the underlying physics and the realistic device merits, presenting guidelines for process and device development. Advanced TCAD technology with enough accuracy and affordable simulation burden is crucial both in academy and industry so as to address the device physics, shorten the technology development cycle, and reduce the fabrication cost. Furthermore, the industry highly demands the application of TCAD beyond the integration phase into manufacturing, as well as to yield optimization as well. A standard TCAD sequence involves process simulation, device simulation, and circuit simulation. Since this section cannot cover the complete TCAD technologies and their application spaces, it will only focus on typical device models in a hierarchy based on different levels of precision and complexity.
TCAD Device simulation calculates the behavior of electronic devices, e.g., the current–voltage (Id–Vg, Id–Vd) characteristics and frequency response (Cgg–Vg) of a device in general. The devices are defined and characterized mathematically in terms of geometries, materials, connected electrodes, doping, stress profiles, and other relevant physical parameters, all of which may be obtained from measurement, process simulation, or simply manual definition.
There are two main kernels in TCAD solvers for all levels of the model hierarchy, i.e., (1) the transport equations solver coupled with electrostatic solver, and (2) the Maxwell’s equations (Poisson equations) solver for fields (the quasi-static electric fields). The two kernels are coupled strongly and should be solved iteratively and simultaneously. The second kernel is fixed and transferable in versatile applications. Hence, in the following, we focus on the first kernel, which adopts different levels of approximations to leverage the model precision and complexity. V. Moroz from Synopsys Inc. summarized the typical electrostatic and transport models concisely into a pyramid [64], covering industry TCAD to state-of-the-art academical TCAD as shown in the Figure 11. We refer interested readers to the literature for more detailed hierarchy of TCAD device models [65]. Specifically, as the modern transistor dimensions approach atomic scale, the need to calculate quantum effect has impacted electrostatic and transport and evaluating the atomic-scale defects has motivated TCAD from engineering at the bottom in Figure 11 towards research at the top. Rigorous solutions to Schrodinger’s equations based on nonequilibrium Green’s function (NEGF) and density functional theory (DFT), semi-classical solutions of the BTE and continuum models such as drift-diffusion (DD) are elaborated to a multi-scale TCAD Framework [66].
The most accurate but complex TCAD solution is the DFT within the NEGF formalism (DFT-NEGF) method. In addition, the incorporation of DFT enables parameter free simulations, which is suitable for path-finding research of emerging technologies. The basic idea of the DFT-NEGF method is firstly proposed by H. Guo’s group [67], i.e., using the DFT to calculate the Hamiltonian and electrostatic properties of the device; using NEGF to determine non-equilibrium statistics for constructing density matrix; and using real Space numerical methods to calculate transport properties and the boundary conditions for open device structures (see Figure 12). It has been used as the standard approach to model nonequilibrium quantum transport in atomic nanostructures. High precision can be achieved by using DFT-NEGF, but at the expense of computational issues in speed and memory limits.
As a compromise, one may apply semi-empirical k.p (KP) or tight-binding (TB) models in combination with NEGF. Excellent transport results can be obtained if the KP/ TB parameters are properly chosen. Many KP/TB-NEGF codes with various capabilities and accuracies have been developed [68,69]. A standard open source TB-NEGF framework is proposed as shown in Figure 13 [70]. Several open commercial tools are also available [71,72]. Such schemes are able to solve quantum transport problems involving extended number atoms rather than DFT-NEGF method. Such KP/TB/DFT-NEGF models have been widely implemented in simulating the quantum transport phenomena in ultral scaled MOSFET as well as in studying the tunneling field effect transistors.
Despite high accuracy in rigorous atomistic techniques such as the aforementioned DFT-NEGF and KP/TB-NEGF, they remain too computationally expensive for massive TCAD support in applications of industry development. Drift-diffusion (DD) is still preferred if the empirical parameters are obtained from advanced calibration scheme. In practice, for a given node, the optimized geometry is almost reached and only small variation in device dimension is needed. Thus, the design window is relatively narrow, in which calibrated DD model can give enough predictive results even for sub 10 nm node transistors. Moreover, the DD solution in well established in industry TCAD. It can handle realistic device geometries and is smoothly connected with the process and topographical simulations data. Due to the above reasons, the DD model still plays the most import role in engineering and continues to be infused with more rigorous models by advanced calibrations, affording the fast and massive data for industry technology development. For example, Figure 14 shows the DD TCAD solution proposed by Intel [73].
In brief, with shrinking device dimensions, the demand for accurate solvers of the coupled NEGF transport equations and atomistic-based electrostatic calculations has grown significantly. TCAD device models of different complexity, precision, and accuracy are developed in many academic groups or offered in various commercial tools. Depending on specific device scales, a quantum-mechanical model or a semi-classical model has to be adopted properly. Once the computational issues of speed and memory limits are relieved, the application domain of quantum transport modes can be further extended.
Part Two: Process Technology for Nano-Scale Transistors

4. Advanced Lithography Process

The most important goals for advanced lithography technology are to create more clear patterns and high reproducibility at the same time. For 20-nm and 14-nm node technologies, 193 nm ArF immersion with multiple patterns has been mainly used in manufacturing of FinFETs [74]. Further, 193 nm immersion with self-aligned double pattern (SADP) and self-aligned quadruple pattern (SAQP) technology aim for 7 nm technology nodes and below. For the advanced technology node, quadruple patterning needs to be further developed as it will increase the cost and process variation.
Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as line space (LS) and contact hole (CH) patterns for 7 nm node and beyond. EUV lithography enables the use of single mask exposure instead of double or quadruple exposure. It will replace some key process steps in the flow for multiple patterning.
EUV lithography is ready for introduction and running in volume for technology development, but it still faces several challenges. EUV is used for metal layers at 7 nm node, but it still requires double patterning with traditional immersion steppers for some metal layers. Single-patterning process production cost is greatly lower than that of “multi-patterning” of repeated pattern circuits.

4.1. EUV Source

EUV light source power is critical for high-volume manufacture (HVM) and it can limit the large-scale use of EUV technique. To increase the throughput in HVM, the resist sensitivity to the 13.54-nm wavelength radiation of EUV needs to be improved while the line-width roughness (LWR) specification has to be kept to a few nanometers. In principle, an EUV stepper using a 250 W source and 25 mJ/cm2 resist, sensitivity should be able to process at least 100 wafer-per-hour (wph), which makes it an affordable price to match with the other lithography techniques. In fact, it is the light source that enables economical production capacity of the exposure tools. The latest ASML NXE3400B system can generate 250 W EUV light source [75]. This enables wafer throughput up to 140 wph where it is already acceptable for HVM [76]. In any way, the source power subsystems toward 500 W will be a huge challenge, but its wph will be about twice the current value [77,78].

4.2. EUV Resist

For mass production of integrated circuit transistors, EUV resist requires significantly increased sensitivity and improved line edge roughness (LWR) and local critical CD uniformity (LCDU). The main challenge of EUV resist is to simultaneously require ultra-high resolution (R), low line edge roughness (LER), and high dose sensitivity (S) [79]. EUV photoresist materials need to be improved with better resolution and simpler chemistry to reduce photon shot noise and chemical fluctuations. The placement of individual molecules of chemical amplification material starts to be important at 10 nm node. Resolution, line edge roughness, and sensitivity are the critical technical issues of EUV lithography, and they are correlated with each other as shown in Figure 15. For example, when the LER increases, high dose is needed and, consequently, the resolution becomes low. The higher absorption requires the use of thinner resist, which mitigates the issue of line collapse.
Another critical challenge is to develop suitable EUV photoresists at high resolution with high sensitivity and low line-width roughness (LWR) for the reduced film thickness needed for high-NA by its reduced depth of focus (~1/NA2) [80].

4.3. EUV Mask

The EUV mask infrastructure requires the manufacture of defect-free photomasks, where the ability to inspect photochemical masks is a key success factor [81,82]. Since protective films cannot currently be used in EUV lithography, other measures need to be taken to deal with the contamination that may be introduced during mask transportation and when they are being used. The defects caused by this contamination will significantly reduce the yield in the manufacturing of semiconductor devices [83].
In EUV system, the masks operate in reflective mode. In order to obtain maximum reflection, we need to improve material for the EUV absorber on the mask (which is mirror-like).
The multilayer Mo/Si are used as high-reflectance mirrors. The key properties include the roughness and inter-diffusion depth at the Mo-Si interfaces [84]. TaBN is the current standard absorber, but for low-k1 imaging, the three-dimensional effect of the mask results in degradation of image contrast and edge placement errors. Mitigation of 3D-mask effects is a requirement for high-NA (0.55) EUV lithography [85]. Both the absorber and the reflective multilayer parts of the EUV mask contribute to the 3D-mask effects. 3D-mask effects are more predominant for wafer image quality. EUV pellicle is a thin membrane and it has been enabled to prevent reticle from contamination and particles, both inside and outside the scanner environment. However, it will cause reduction in throughput because of the absorption of EUV photons in the pellicle [86]. Therefore, throughput will be reduced when EUV mask pellicle is used.

4.4. Change to High-NA

A 3nm EUV single-patterning process was available through a next-generation “high-NA” lithographssssssy system, which increases the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55 [87]. As shown in Figure 16, ASML and IMEC were able to pattern 24 nm pitch lines using 3 nm process and the current EUV lithography system by adjusting an incident angle of EUV light source [88]. However, the high-NA will reduce the depth of focus, which leads to improve the focus control possibility.

5. Epitaxy of Nano-Scaled Transistors

5.1. SiGe Selective Epitaxy Growth (SEG) for Source and Drain (S/D)

In the 90 nm technology node, selective epitaxy growth (SEG) of SiGe was integrated in the recessed S/D areas as stressor material to induce uniaxial compressive strain to enhance the carrier mobility in the channel region [89]. The Ge content in the SiGe in S/D areas has been increased from 19% to 45% while the critical dimension (CD) of the transistors were scaled down from 90-nm (2D: planar transistors) to 22-nm (3D: FinFET) nodes [89,90,91]. It has been reported that the Ge content in the SiGe S/D will be further increased to more than 50% in the 10 nm Fin structure [92]. A summary of the Ge contents in S/D areas for different technology nodes is shown in Figure 17.
In order to induce more strain in the channel area, the original round shape of recess (or trenches) in S/D regions was changed to sigma (“∑”) shape in the 45-nm node. It is because that the SiGe layers could be placed closer to the channel area [93,94].
The SEG process of SiGe on Si Fins and Si nanowires suffers from different challenges and problems: Defects due to strain relaxation [95], facet formation [96,97], non-uniform strain distribution [98,99], and the pattern dependency effects [100,101,102,103].
Figure 18a,b shows three groups of chips (A, B, and C) in terms of transistor performances (poor, good, and excellent for A, B, and C group, respectively) over the wafer. The X-ray results show the Ge content, layer thickness, and strain relaxation for transistors in these groups, which could be a result of the pattern dependency of selective growth [94].
The reason for the pattern dependency over a wafer (global effect) or inside a chip (local effect) is rooted from non-uniform consumption of reactant gases when the layout is changed. In a more specific way, pattern dependency of SEG occurs when the exposed Si area or opening size of the S/D regions are altered in a chip or when the neighboring chips have different layouts. A remedy to this problem is modeling the growth for a specific layout to find out the layer profile in advance and then change the chip layer out to create a uniform gas consumption over the chip [100,101,102,103].
The quality of the epitaxial layer and the strain induced by SiGe S/D to the channel is very sensitive to the quality of initial Si surface. This means that surface cleaning and treatment of Si-fins prior to the epitaxy are very important issues that determine the defect density of the SiGe layers. A standard cleaning method using chemicals—sulfuric acid and hydrogen peroxide mix (SPM) followed by Ammonium hydroxide and hydrogen peroxide mix (APM) with diluted hydrofluoric acid (DHF)—are widely used in semiconductor labs. Then, the in-situ treatment is another key step to remove any native oxide or residual impurities on the Si fin surface. To choose an appropriate temperature that does not damage the Si fins’ shape is an important point.
As an example, Figure 19a,b shows how the symmetric shape of Si fin can be damaged after pre-baking at 825 °C (Figure 19a) and 800 °C (Figure 19b). It shows that serious damage occurred to Si fins and as a result, the height of the fin is shrunk. However, the samples with pre-baking at 800 °C in Figure 19b demonstrate high quality Si fin surface after SiGe epitaxy. The symmetrical morphology of SiGe shows more strain induced [104].

5.2. SiGe, Ge Epitaxy Growth for Channel Region

Strain engineering in nano-scale transistors and devices is an effective method to deal with SCEs, involving high-mobility materials e.g., strained Si [105], SiGe [105,106], Ge [107], and GeSn [108] in the channel areas. The integration of high-mobility materials mainly has two trends:
(1)
To grow the high-mobility materials as the channel first. In order to avoid strain relaxation in the channel, low thermal budget is demanded in device fabrication.
(2)
To have Si channel for example in FinFET but later replace it with high-mobility materials (SiGe, Ge, and GeSn) with a high aspect ratio (AR). These channel materials can be deposited selectively in the transistor structures. In this way, the defects are formed and trap close to the fins’ sidewall (oxide) during epitaxial growth process. Finally, high-quality material is filled in the vertical direction inside the trench.
For the removing of Si Fin in the trenches, wet etch using diluted Tetramethylammonium hydroxide (TMAH) and the vapor etch using HCl inside the chemical vapor deposition (CVD) chamber are applied [109]. After filling the empty trenches, chemical mechanical polish (CMP) technique is performed to polish and planarize any existing overgrown film. Figure 20 shows the image of SiGe or Ge SEG in the channel area in a FinFET structure. The process starts to form a “V-shaped” Si recess surface and to grow the Si0.3Ge0.7 as strain relaxed buffer (SRB) layer [110].

5.3. SiGe/Si Mutil-Layers Epitaxy Growth for Gate-All-Around (GAA) Structure

For better control over a SCEs, a more aggressive design e.g., GAAFETs is proposed to be integrated beyond 5 nm node. For such transistors, SiGe or Ge is proposed to be grown as muti-layers and later selectively etched to form the channel region.
As shown in Figure 21, it is a vertical GAA structure that uses silicon nanowire as a channel to increase the channel width of the device and reduce leakage current [111], and effectively suppress SCEs.
In GAAFET, the width of the channel is increased by using multiple Si nanowires. A fabrication process of hGAAFETs is shown in Figure 22. At first, a multilayer of SiGe/Si is grown on the substrate. After the multilayer growth, the fins are patterned by using spacer technology. Later, dummy gates and internal spacers, such as silicon-nitride, are defined. At this stage, selective etching of SiGe is performed with respect to the Si layer, and then a nitride layer is deposited and etched to define internal spacers. Finally, HfO2/TiN/W deposition can be used as metal gate for lateral GAA NWs [112].
However, the preparation process of nanowires faces some challenges, e.g., the control of nanowire sizes [113], and its ideal circular shape in cross section [114]. When hGAAFETs are processed, SiGe is used as a sacrificial layer and it has to be completely removed while retaining Si. With regard to vGAAFETs, precisely controlled etching of SiGe is critical for the device feature size.
SiGe/Si nanowires can be divided into vertical and horizontal nanowires. The vertical nanowire GAA requires more disruptive technological changes, while the horizontal one has less deviation from FinFET process, and the manufacturing is less difficult [112,115].
There are two methods to form NWs: Top-down and bottom-up ones. VLS is the most common growth method for bottom-up nanowire. The principle of this method has been described in Figure 23. The VLS metod applies a catalyst metal (typically Au), and chemical vapor deposition (CVD) technique is usually utilized for the NW growth [116]. The diameter of the NWs is determined by the size of the catalyst (catalytic seed) and related to the growth direction, and the length is determined by the flow rate and the time of the precursor vapor [117].
The top-down preparation of SiGe nanowires mainly relies on SiGe’s selective isotropic etching process. The shape of the nanowire is determined by photolithography and etching. The top-down approach has many advantages for horizontal nanowire formation e.g., yield and availability of mature technology [112]. Wet etching is used to prepare SiGe\Si nanowires. The chemical liquid composition used to selectively etch SiGe is HNO3: BOE: H2O or H2O2: BOE: H2O. HNO3 and H2O2 react with Ge preferentially as strong oxidants, and the oxide layer reacts with BOE to remove SiGe. It is worth mentioning that the high concentration of HF is corrosive to Si and SiO2. Dry plasma etching can be used to prepare SiGe/Si nanowires as well. CF4/O2/He reaction system is used as the etching gas for SiGe. The high-energy particles of traditional plasma will cause damage to the lattice. The use of additional RF power can reduce ion-induced damage [116]. Compared with the traditional continuous etching, atomic layer etching (ALE) can achieve precise control of the etching size. The oxidant and the etching agent alternately cycle when ALE technique is used [113]. ALE can achieve atomic-scale reactions, and is a very promising method for etching small-scale patterns. ALE can be used in both wet etch and dry etch [113,118]. Figure 24 shows the etching of (SiGe/Si) multilayer fins.

6. Implantation and Advanced Doping Methods

Ion implantation has played an important role as a doping method in the fabrication of semiconductor devices for many years. Especially in the manufacture of CMOS devices, implantation offers a precise way to introduce expected species (B/P/As, etc.) into the wafers compared to traditional thermal diffusion. The quantity of both dopants and its distribution could be well controlled by this method. However, when the critical dimensions of MOSFETs evolved into nanoscale, 3D structures e.g., FinFETs or nano-wires, implanataion becomes more complicated. It happens when the architecture brings more requirements for the doping process to achieve highly activated, low damaged, and diffusion-controlled junctions.

6.1. Conformal Doping on 3D Structure Devices

One of the greatest challenges on 3D devices manufacturing is how to make a high aspect ratio doping within 3D structure. A large tilt is applied for implantation on FinFET initially, but later with the continuous requirement for increasing the packing density, the incident angles is restricted by the tight fin pitch due to the shadowing effect during implantation [119].
A novel doping technology called Plasma doping has been considered as an effective solution to achieve conformal doping distribution on 3D structures [119,120,121,122,123]. The technique is designed differently with traditional ion implanter where no species separate components in this system. The dopant gas is ionized in the chamber and the ions containing the dopant species are then extracted from the created plasma and can be accelerated to the substrate at varied angles. In this way, a more uniform doping profile could be obtained as demonstrated by K.Han et al. [124]. The amorphous layer created by plasma doping on a fin structure was more uniform than that done by beamline implant system.
Another alternative method for nano-scale doping is solid (or liquid) phased diffusion (SPD) technology. Dopant containing compounds are coated on the surface uniformly and then driven into the substrate via rapid thermal diffusion instead of implantation [125,126]. SPD could be carried out in several ways. For three-dimension structures, mono-layer-doping (MLD) is believed to be one of the most promising candidates [127,128,129]. The process starts from a self-assembled monolayer formation which is mainly composed of organic dopant. After the dopants are attached uniformly to the surface and catalyzed by a low temperature, the hydrosilylation reaction will be enabled to form covalent bonds between the molecules and silicon atoms of the substrates. After that, a rapid thermal treatment will break the molecules and drive the dopant atoms into the substrates. Until then, a capping layer is always needed to prevent the dopant from diffusing out into the atmosphere outside. The whole procedure is defect free, and the dose could be tuned by modulating the content of dopant impurities in the molecules. Moreover, the dose is affected by other factors due to the self-limiting surface reacting properties.

6.2. Defect Reduction

At present, implantation is still the leading candidate for doping, however it is well known that implantation for S/D regions and S/D extension will always bring damage to the substrate. This is especially observed when the energy or fluxion is high enough, a large number of defects will be created due to the cascade collisions between injecting ions and atoms of the target. In principle, the damage and most of these defects could be recovered later by a high temperature treatment like spike or millisecond annealing. However, there are always some deactivated clusters composed of interstitial or dopant atoms residue remained at the end of range area, which might degrade the resistance and lead to junction leakage.
Substrate temperature has to be chosen in order to effectively affect the damage generation [130,131]. It is known that implanted amorphous layer is essential for S/D engineering in CMOS to achieve highly activated shallow junction, but the disadvantage is the lattice damage and the created point defects. Fareen et al. has explained the physical mechanism of cryo-implantation. When the substrate temperature is decreased to −100 °C, implantation will be favorable to improve the amorphization by forming continuous thicker amorphous layer. In this way, the amount of Si interstitials is reduced and the formation of interstitial-boron (I-B) clusters is inhibited, which is the main mechanism of dopant deactivation and junction leakage [132,133].
Despite the fact that amorphization is greatly helpful in improving activation, it is not always expected in 3D devices. For 3D devices e.g., FinFET or NWs, the channel or extension implanatation should be in nanoscale and easily amorphized. Especially for the extension area, it is easily fully amorphized, which might cause permanent fin damage even after thermal annealing. This will result in poor parasitic resistance and higher junction leakage. To avoid this problem, Wood et al. tried to prevent amorphization generation by raising the substrate temperature in n-type S/D extension implantation. It has proved that hot implantation is effective to suppress the amorphization of the fin structure, and the junction could be improved by > 10 X, which means the damage is decreased greatly in this way [134].

6.3. Material Modification

Apart from doping process, material modification is another potential application of implantation in advanced devices. As reported, Ge, Si, or C are employed to inhibit the channeling or transient enhanced diffusion (TED) effect in ultra shallow junction (USJ) module [135,136]. Moreover, Ge or As is also proved to be favorable to decrease the contact resistance [137,138,139]. Since the structure of CMOS has evolved into three dimensions, titanium silicide has re-acted as standard contact schemes due to its better thermal stability and lower contact resistivity.
Recently, pre-amorphous implantation (PAI) is reported to be effective to improve the performance of Ti silicide. Mao et al. [140] and Yu et al. [141] have demonstrated that when a low-energy pre-amorphous Ge implantation is applied on contact area, it will lead to great conductivity improvement, and an extremely low ρ of about 1.5 × 10−9 Ωcm could be achieved. The mechanism could be explained by the interfacial morphology promotion induced by PAI. The PAI process is helpful to enhance both the diffusion of Si atoms and bonding of Ti-Si [142].

7. ALD, HKMG and NC Materials

High-k and metal gate have been introduced into CMOS technology to replace the traditional SiO2/poly-silicon gate electrode since 45 nm technology node. Along with the shrinking of the feature size, the type and thickness selection of the high-k and metal gate material are modified correspondingly. SiO2 and HfO2 are chose to be interfacial layer and high k dielectric from 45nm to 5nm technology node. Figure 25 shows the related thicknesses of these oxide layers. It can be seen that the interfacial layer decreases slightly from 1.2 nm to 1.1 nm when the technology node decreases from 45 nm to 22 nm, while the thickness of HfO2 reduces from 1.5 nm to 1.0 nm. Tri/Fin-FET structure is introduced instead of the planar structure. The thickness of SiO2 continuously decreases to 0.6nm while HfO2 thickness increases to 1.2 nm. SiO2 is the best passivation of Si surface, which can be used to make a device with high reliability. However, 0.6 nm is rather thin, which is only several layers of atoms and cannot be further decreased dramatically. So, SiO2 is estimated to be about 0.5nm and HfO2 is about 1.0 nm at 5 nm node.
For metal gate, the thickness of NMOS and PMOS work function metals range from the technology node is shown in Figure 26. TiAl(N) is used as NMOS work function metal and TiN as PMOS work function metal in both 45nm node and 32nm node device [143,144]. The thickness of TiAl(N) and TiN are ~2.0 nm and ~2.1 nm, respectively, in 45nm node, while they are ~1.7 nm and 2 nm in 32 nm node. The introduction of Tri-gate FinFET structure and gate-all-around (nanowire) structure improves the electrostatic gate control and the requirement of metal gate work function decreases. Thus, due to the miniturization of device and limited filling space, the metal gate thickness still needs to be decreased. The thickness of TiAl(N) and TiN are ~1.2 nm and ~1.4 nm, respectively, in both 22 nm node and 14 nm node. They are estimated to be about 1.0nm and 1.2nm for TiAl(N) and TiN, respectively, at 5 nm node.
The traditional way to deposit metal gate is physical vapor deposition (PVD), which can easily deposit a variety of metal gate materials and give much more metal gate selections. For the CMOS device of 45nm node and 32nm node, the aspect ratio of the gate stack is not so large that PVD can satisfy the requirements. However, when it comes to FinFET or nanowire structure, the aspect ratio is rather high, which can be more than 2:1 when the CD size is less than 20nm. Therefore, PVD cannot satisfactorily perform the filling of metal gate structure anymore. Atomic layer deposition (ALD) is the best solution, which has capability of excellent conformal step coverage [145]. HfO2 and P type metal can be easily deposited by ALD, but it is relatively difficult for N type workfunction metal deposition due to the limitation of precursor. Table 1 shows the typical related study results of different workfunction metals. Thermal ALD of TiN using TiCl4 and NH3 as precursors at 350 °C was demonstrated by Lujan et al. [146]. The effective workfunction was extracted to be 5.3eV, which is suitable for PMOS application. Jeon et al. fabricated stacked metal Ti1−xAlxN using TDMAT, TMA, and NH3/H2 plasma [147]. The effective work function was 5.04 eV. The same group could also grow TiC-TiN layers by using TDMAT and NH3/H2 plasma. The effective workfunction was decreased from 5.0 eV to 4.6 eV by increasing the growth temperature [148]. G. Cho et al. could grow TiCxNy film using TDMAT and H2, NH3, and CH4/H2 plasma separately. It was found that the Ti-C and Ti-N bound have an important effect on the effective workfunction value. The lowest effective workfunction was estimated to 4.66 eV [149]. Most of the ALD N type metal is deposited by plasma enhanced (PE) ALD. PEALD of TaCy using organic precursor and a carbon containing gas has an effective workfunction value of 4.77–4.54 eV [150]. Most of the other N type metals, TiC, TaCN, and WC0.4, etc., are also deposited by PEALD [151,152,153]. Compared with PEALD, thermal ALD has no plasma damage on the underlayer dielectric and could improve the device performance.
Chao et al. systematically studied thermal ALD TiAlC and TaAlC. The effective workfunction could be tuned and the lowest effective workfunction of 4.24 eV was obtained, which is suitable for NMOS application [154,155,156,157].
Currently, a major challenge for integrated circuits is the control of low power consumption. With the shrinking of the feature size of traditional transistor devices, the power consumption increases sharply. Low-power devices are the current research hotspots of integrated circuits. The sub-threshold swing of negative capacitance transistors is less than 60 mV/dec, which breaks through the sub-threshold swing limit of traditional transistor devices [159]. Therefore, Vdd and Ioff can be effectively reduced and applied to low-power electronic devices. In the current reported data, the minimum value of the sub-threshold swing has reached 42 mV/dec [160] and negative capacitance transistors show excellent application prospects. The discovery of ferroelectricity of doped HfO2 materials provides tremendous potential for the negative capacitance transistors [161], due to the excellent compatibility with the nowadays COMS technology. However, the fatigue characteristics are still poor. In the near future, the research on negative capacitance transistors will continue to heat up. Finding suitable ferroelectric materials and realizing greater negative capacitance values are the focus and challenge of technological research and development.

8. ALD W for Nano-Transistors

Since Intel introduced high-k and metal gates (HKMG) to MOSFET, ALD technology has been applied to grow different related materials for nanoscale transistors. As an excellent electrode filler material, tungsten was first used in integrated circuits for contact hole filler tungsten bolt (W plug) in metal interconnects. After the appearance of HKMG, tungsten was also used as filler electrode material for metal grids. Recent studies about memory structures have shown that β- phase W can produce giant magnetoresistance (GMR) effects due to their large spin hall angles. This discovery has a high application prospect in third-generation magnetic memory [162]. Here, a brief of the current status and progress of ALD W films and their applications in semiconductor devices has been provided.
When depositing a mono-metallic in the atomic layer, the purpose of using a deoxidizer is to replace and remove the coordination group connected to the metal atom. The ALD W reaction belongs to the replacement reaction of fluoride (WF6) and deoxidizer (such as silane, ethylamine, etc.). At present, WF6 is commonly used as the precursor of W, and the B2H6 and SiH4 are used as replacement reductant precursors.
ALD growth process is very sensitive to the chemical properties of the surface. As a surface self-limiting chemisorption reaction, the higher the active sites on the surface exit, the greater the chemisorption probability is, and the better the surface nucleation. Hwanyeol Park et al. [163] simulated the process of ALD W deposited on TiN surface using B2H6 and WF6 as precursors by first-principles density functional theory (DFT). The precursor adsorption processes of ALD W on different TiN surfaces were investigated in detail. Three orientations and three positions of the B2H6 on three different Ti surfaces are considered, which are the TiN(111) of the Ti ending, TiN(001), and the TiN(111) of the N ending. The results show that the overall reaction energy between B2H6 and WF6 is lowest at N-terminated TiN (111). The study found that controlling the texture of TiN films is essential to improve subsequent W nucleation.

8.1. ALD W as Gate Filling Metal

ALD W can be used as gate filling metal for 22 nm and beyond nodes for CMOS technology [164,165]. Wang et al. has shown that the amorphous ALD W using B2H6 and WF6 shows lower growth rate, lower resistivity, and better gap-filling capability in gate trenches with high aspect ratio, in contrast to the polycrystalline ALD W using SiH4 and WF6 as displayed in Figure 27. Furthermore, it has been shown that the doping of boron (B) in ALD W does not affect the C–V and I–V characteristics of as-prepared capacitors. This indicates that the ALD W using B2H6 and WF6 is a good metal for gate filling, which can be widely used in nanoscale transistors [166]. It was found that, among filling metals, transistors filled by ALD W using SiH4 show higher drive capability and better control of SCEs.
Further investigations were performed by XRD to study the internal morphology of ALD W films. The results show that crystalline phases were detected in the ALD W films grown by SiH4 as precursor; meanwhile, the films deposited with B2H6 were amorphous as shown in Figure 28 [166].

8.2. Area-Selective ALD W

Area-selective ALD W is a bottom-up process and it solves the edge placement errors problems encountered in the process of top-down etching and other processes. By using the substrate-dependent nucleation of ALD processes, we can use the hydrogen to expand the substrate selectivity window during W atomic layer deposition [167]. Tungsten ALD is more energetically favorable on Si than on SiO2, but after several ALD cycles, the selectivity will be lost. Kalanyan et al. found that, during the WF6 dose step, adding H2 can help passivate SiO2 against W nucleation without affecting W growth on silicon. Surface characterization confirms that H2 can promote fluorine passivation of SiO2 through surface reactions with HF.

9. SiNx Film and Strain Engineering

SiNx is a kind of material that is widely used in CMOS-lines as gate dielectric, spacer, hardmask, and passivation layer. When time goes into the post-Moore age, with increase of transistors integration in a chip, more information will be transferred between chips optically, which enhances the demands of the interconnections. Different from electric current in metal wiring and electron transport, signal transmission in optical interconnection fundamentally comes from photons. It means that electron transmission by means of copper connection will not be the main action in the chip, but the signal is substituted with photons transmit within optical fiber or waveguide with low power consumption [168]. As the third-generation waveguide platform, SiNx film shows much more superior performances than previous waveguide platforms including fibers and silicon waveguides. SiNx film and its strain engineering play an important role in monolithic integration photonics and eletronics.

9.1. Different Properties of SiNx Film

The properties of SiNx film mainly depend on its chemical composition. Si/N ratio and chemical bonding variation will lead to the changes of mechanical and optical characteristics such as refractive index, stress, optical band gap, and Young’s modulus. In CMOS lines, low pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), and ALD technologies are the normal processes. LPCVD technology could produce silicon nitride that is either highly strained and stoichiometric (refractive index is ~2.0@633 nm) or low stress and silicon rich (higher refractive index). PECVD-based silicon nitride has a composition that strongly depends on the deposition conditions, and can be silicon-rich, stoichiometric, and nitrogen-rich (lower refractive index). The best step coverage is the advantage of ALD-based silicon nitride. Classifying the SiNx film by stress, it can be identified as tensile, compressive, and non-stress films. With these different properties, it can be applied in many technical areas.

9.1.1. High Tensile Stress Contact Etch Stop Layer (CESL)

In fabrication, tensile stress SiNx film is usually manufactured by LPCVD or PECVD process. It is well known that LPCVD technique is usually used to create high-tensile-stress Si3N4 films up to 2Gpa. When we did not need to care about its high thermal budget, this deposition technique could be really a good choice. However, sometimes when the process flow reaches the backend of the line, high temperature would be incompatible, and the devices are practically destroyed [169]. PECVD technology is a highly efficient, mature, and low thermal budget process, which is the best choice in the backend of the line [170]. However, meanwhile, its low thermal budget brings the issue that the hydrogen in the silicon nitride film could not have been pushed out. This reduces the film tensile stress down to about 1Gpa [171]. In order to improve the tensile stress, plasma treatment and ultraviolet thermal process (UVTP) have been applied as shown in Figure 29. It is important to mention here that the UVTP treatment after films deposition could increase tensile stress in the films to 1.7 Gpa. During this method, Si-H, N-H bonding were broken to push out H gas through UV and thermal process. This ability made PECVD technology a good choice to meet the demands of both high tensile stress and low thermal budget.

9.1.2. High Compressive Stress CESL

High compressive stress SiNx film is also very important during the post-Moore age. Achieving high strain is hard for LPCVD technology while it is easier for PECVD technology. In order to produce high compressive stress film, there were high and low RF power sources coupled with diluted gas by PECVD. It has been found that the compressive stress has a high relationship with diluted gas species. The compressive value is about −1.2 Gpa when nitrogen was used as diluted gas [173]. The compressive value would be increased to about −2.3 Gpa when a mixture of argon and nitrogen was used as diluted gas. Furthermore, the compressive value could be increased to about −3.1Gpa with the changing of diluted gas to hydrogen and argon mixture. Here, the hydrogen is utilized to reduce energy loss during plasma bombardment. If further compressive value is desired, the elasticity modulus of the film must be improved by inducing carbon element. It is found that the compressive value could attain to −3.5Gpa when SiH4 is replaced with Trimethylsilane (TMS). The mechanism was that TMS contained carbon element, which impelled hydrogen to implement higher compressive value [174].

9.2. The Application of SiNx Film in Post-Moore Age

9.2.1. As Waveguide Transmitting Light in Photonics

Photonic integrated circuits have been based on several kinds of material platforms [175,176,177], and each platform has its own advantages and challenges. Today, mainstream silicon photonics products are built on silicon-on-insulator (SOI) wafers through traditional CMOS pilot line. Silicon nitride (Si3N4) photonics, a third integration platform, has characteristics and performance superior to the silicon-on-insulator (SOI) photonics and group III-V photonics platforms and is compatible with foundry-scale processes.
Figure 30 shows the light transparent windows of the different waveguide core materials. Compared with silicon and III-V platforms, silicon nitride core has a broad transparency range (0.4–2.35 µm), which takes it into the bio-science field where silicon core cannot. In addition to broad transmission wavelength, lower index contrast with silica compared to silicon, no suffering from two-photon absorption (TPA), and free carrier absorption are all silicon nitride’s superiority.

9.2.2. Strain Engineering in Modify Germanium Band Gap

It is well known that germanium is pseudo-direct bandgap material. A transition from indirect to direct band gap has been predicted for tensile-strained germanium since the energy position of the conduction Γ valley versus strain decreases more rapidly than the band-edge L valley [179,180]. Many methods for increasing the tensile stress in Ge have been explored, including strained Ge growth, thermally induced strains, external stresses, stressor layers, and strain redistribution. As a stressor layer, SiNx film is a very attractive possibility to change germanium band structure because of its compatibility with a CMOS processing and the flexibility to control the stress transfer and achieve high tensile or compressive strain [181,182,183,184]. In 2013, Centre national de la recherche scientifique (CNRS) demonstrated that when a strong tensile strain silicon nitride stressor covered germanium microdisks growing on GaAs substrate, up to 1% biaxial strain resulted in direct band gap emission red-shifting from 1550 nm up to 2000 nm under the room temperature [183]. In 2015, their publication said that when all-around tensile stress of silicon nitride was brought up to 1.45%, it would result in red-shift toward 2100 nm wavelength.
SiNx film is also a kind of flexible material whose properties and characteristics are altered as process conditions changed. The unique ability makes it widely used in the post-Moore era especially in the field of silicon-based photonic integrated circuit.

9.2.3. The Application of SiNx Stress Engineering in IV MOSFET

There are various advanced stress technologies to enhance the carrier mobility in the channel including shallow trench isolation (STI), nitride CESL, embedded SiGe, or SiC in source/drain [185]. Among all these feasible stress inducing approaches, the intrinsic stress of thin SiNx film by a CESL has become an important technique that receives much attention because it is easy to execute in nanoscale semiconductor devices.
The effect of CESL in IV MOSFETs with Si and Ge and GeSi channel material have been reported in many publications. Recently, more and more articles pay attention on nanoscale Ge or GeSi MOSFET. Both the experimental and simulation work presented the stress-induced mobility gain of CESL as strongly dependent on the layout arrangement of devices, particularly in situations with narrow widths [186]. P. Nguyen et al. has demonstrated induced CESL in Ω-gate CMOS nanowires with p-FETs and n-FETs down to W = 15~20nm gate length [187,188]. As shown in Figure 31a,b, tensile CESL is the best option to improve n-FET performance. c-CESL, n-CESL, and t-CESL represent compressive-CESL, neutral-CESL, and tensile-CESL, respectively.

10. Advanced Etching for Nano-Transistor Structures

As CMOS technology enters the 3 nm node, GAA nanosheet/nanowire becomes the most powerful competitor to replace FinFET technology because of its excellent control of SCEs [189,190]. As it was mentioned above, GAA devices mainly have two forms, horizontal [191,192] and vertical [46,193,194], and selective etching plays a very important role in these manufacturing processes. For the preparation of horizontal nanowires shown in Figure 32, there are three main steps that require precise selective etching to prepare inner spacers and release dummy gates and nanowire channels. For vertical nanowire devices (see Figure 33), selective etching is used to precisely control the diameters of the channels.

10.1. High Selective Etching for Channel Full Release

Removal of the sacrificial layer to obtain nanowires or nanosheets is a very critical process step (as shown in Figure 32g). This step requires a high etch selectivity when the nanowire channel is released. This is achieved through the selective etching of sacrificial layer to the channel material. The channel material is generally Si, with SiGe sacrificial layer. Conversely, the channel material may be Ge or SiGe, and the sacrificial material is SiGe or Si. Therefore, SiGe selective etching is required to obtain silicon channels, or silicon selective etching is required to obtain SiGe channels.
For SiGe selectivity etching, alkali and acid containing oxidant are commonly used chemical reagents, such as H2O2/NH4OH [196] and H2O2/HF/CH3COOH [197,198]. The outcome of this etching method easily leads to structural collapse due to the solution capillary effect, especially for structures with high aspect ratio and small size. One way to overcome the above limitation of the liquid reaction is to change the process to selective etching of SiGe by vapor phase HCl [199]. The gaseous HCl method may offer a high selectivity to Si in a suitable high temperature range, and there is no collapse problem after etching a small size structure with a high aspect ratio. One drawback with this method is that high reaction temperature will cause potential risks of dopant diffusion and stress relaxation [200,201]. Remote plasma selective etching of SiGe is a technique with higher precision than the above pure chemical etching, and it is a process under room temperature without additional thermal effects on the material. The etching gases are mainly CF4 [202], CF4/O2/N2/CH2F2 [203,204], and NF3/Ar/NO [205]. Conventional plasma etching is usually used for anisotropic etching [206]. However, using CF4/O2/He in traditional inductively coupled plasma (ICP) for SiGe selective etching has achieved a good etching effect. The etching morphology has advantages over wet etching as shown in Figure 34 and damaged material can be removed and the SiGe layer is preserved as shown in high-reosultion reciprocal lattice maps (HRRLMs) in Figure 35 [207]. The position of SiGe peak in all maps is aligned to the Si peak imdicating neglible strain relaxation after initial vertical etch and in consequence of lateral etch steps.
Selective etching of Si leaves SiGe nanowires to obtain high mobility channels, therefore research in this area has remarkably boomed. Wet alkaline solutions such as NH4OH [208] and TMAH [209,210] have a high selectivity in silicon etching to SiGe, but all have obvious crystal orientation, which is due to the etching rate Si (100) > Si (110) > Si (111). Liu et al. reported that ACTR 210 and ACT R 301 reduced the crystal orientation behavior of etching and improved the selectivity ratio of Si (111)/SiGe etch to 13: 1 [211]. In order to overcome the wet crystal orientation anisotropy and liquid capillary effect, dry etching is preferred. The dry etch mainly includes SF6/CF4/H2 (selectivity Si to Si0.5Ge0.5 is about 10: 1) [212], CF4/H2/Ar (selectivity Si to Si0.5Ge0.5 is about 30: 1) [25,213] and CF4/N2/O2 (selectivity Si to Si0.5Ge0.5 is about 10: 1) [214]. Both dry and wet etching methods overcome the crystal anisotropy issue, but the etching selection ratio still needs to be improved.

10.2. Precise Selectivity Etching for Channel Partial Release

An accurate release of the channel layer is a critical step for nano-transistors. For horizontal nanowires, the precision of selective etching will affect the effective gate length, and for vertical nanowires it will affect the channel diameter [194]. The above-mentioned etching techniques offer good etching selectivity, but the etching accuracy is not high enough to meet the demand of cavity etching in the inner spacer and the precision control of the diameter of the vertical nanowire preparation. Therefore, it is necessary to develop new etching methods e.g., digital atomic layer etching (ALE) or quasi-atomic layer etching (QALE) techniques [215,216,217]. Pargon et al. proposed digital etching with alternating O2/He gas oxidation and NF3/NH3/O2 etching to obtain a high SiGe etching selectivity to silicon (60: 1), but the accuracy is not high enough (about 19 nm/cycle) [218]. Yin et al. reported a digital etching method using H2O2 oxidation and buffered oxide etchants (BOE) to etch oxide alternately. Because both steps have self-limiting features, the etching accuracy reaches 0.5 nm/cycle [59]. Li et al. proposed a digital etching method of alternating O2 plasma self-limiting oxidation and CF4/C4F8 plasma limited selective etching of SiGe oxide layer, with an accuracy of 0.3 nm/cycle. The etching results are shown in Figure 36 [113].

10.3. Precise Selective Etching of Dielectrics and Other Materials

The spacer between the source-drain and the gate can both prevent leakage and reduce parasitic capacitance. For GAA nanowire devices, the inner spacer is a necessary structure, but its thickness must be precise. The thin thicknesses will increase the leakage and parasitic capacitance. The thicker thicknesses will increase the resistance between S/D when the device is turned on [191,192]. Inner spacers have greater challenges than conventional spacers where a higher etching selection ratio and etching accuracy are required [195]. In addition to the good dielectric properties, the corrosion resistance of the inner wall material is also very important, because the sidewall material needs to undergo nanowire release in the nanowire preparation process, and it needs to be resistant to erosion in the arounding process. Therefore, silicon nitride is still a more ideal material [219]. The selection ratio of traditional etching methods cannot meet the requirements of inner spacer [220]. The selectivity ratio of remote plasma method is high enough, but it is not suitable for etching of inner spacer because of its completely isotropic etching characteristics and low etching accuracy [221]. The emerging digital etching or quasi-atomic layer etching has the potential to be applied to the inner spacer because of its high etching selectivity and etching accuracy [222,223]. However, because of its relatively complicated hardware system and low production capacity, it hampers its rapid application in industry. Li et al. studied the conformal deposition of inner spacer using LPCVD, and the precise dry etching using an innovative gas mixture of CH2F2/CH4/O2/Ar. The structures are shown in Figure 37 [195].
In addition to the above-mentioned SiN materials, high selectivity for precise etching of SiO2 [224], and new channel materials GaN [225], InGaAs [226], and MoS2 [227] are all worthy of attention.

11. WET Cleaning

In the nano-scale transistors, the replacement metal gate (RMG) was introduced by using dummy gate (poly silicon) and dummy gate oxide (silicon dioxide) to go through all the high-temperature annealing processes [228]. This gate oxide is eventually removed in a wet-etch process by using quaternary ammonium hydroxide (QAH) and hydrogen fluoride acid-based solutions [229,230,231], respectively. There are many merits for RMG. First, it avoids crystallizations of the high-k dielectric during the rapid thermal annealing (RTA) process for dopants activation, which may increase leakage current of the gates [232]. Second, it avoids the chemical reactions between the metal gate and the high-k in RTA processes [232]. Also, it prevents the boron from diffusing into high-k because the diffusion into hafnium oxide (HfO2) occurs much slower than SiO2 [232,233]. The RMG process still will work well for the 7 nm and 5 nm technology node with SiGe nanowires through selectively removing the Si sacrificial layer from the SiGe channel material [234]. Meanwhile, for technology nodes 3 nm and beyond, the sacrificial material is SiGe and will be selectively removed from Ge channel [235,236,237].
In spite of the evolution of wet etching reagent, the drying process after the wet etching or cleaning remains to be a great issue for the high aspect ratio (HAR) 3D CMOS structures. In general, the wet processes use aqueous solutions, which use water as the solvent, and the final rinses processes use deionized water (DIW) or ultrapure water (UPW) to clean away the chemicals from wafer surfaces. However, it is well known that some defects can happen due to the surface tension of water. Normally, during the drying process, the high capillary force of water could pull nearby structures to form permanent imperfections, which are called pattern collapse [238], or stiction [239] in terms of micro electro-mechanical systems (MEMS) field. Historically, in order to minimize the surface tension, liquid isopropanol (IPA) has been used [240,241,242], but it eventually becomes ineffective as pattern spacing decreases and aspect ratio increases.
In case of the stiction emergence, the adhesive force (caused by the capillary force), which pulls the neighboring HAR structures, overwhelms the elastic force, and the structures’ integrity becomes safe. In order to minimize the capillary forces, besides using lower surface tension liquids to replace the water with isopropyl alcohol (IPA), reducing the surface energy is also a possible solution. In the field of microfabrication, the hydroxyl groups are considered highly reactive and are often seen from the silicon oxide surfaces after SC1 or ozonated water treatment. Therefore, replacing the hydroxyl groups with inactive molecules like silylation agents is a good solution to minimize the stiction [243]. In ref.243, two kinds of straight-chain alkyl group were compared by means of drying HAR structure evaluation. The carbon number of the straight-chain alkyl group in the agents is 1 and 8, so they are named C1 and C8, respectively [243]. The contact angle of C1(85°) is lower than that of C8 (101°) as shown in Figure 38, which means longer straight-chain alkyl groups enable a more highly hydrophobic surface [243].
However, silicon oxide powder samples treated with the C1 and C8 showed different results. Attenuated total reflection–infrared spectroscopy (ATR-FTIR) measurements results showed that C1 treatment sufficiently eliminates the hydroxyl groups, as shown in Figure 39. On the other hand, it is expected that the C8 reaction was suppressed by its steric hindrance, therefore the existing hydroxyl groups could not be sufficiently substituted with alkyl groups [243]. The drying observation of the HAR structures with aspect ratio of 13.3 using the C1 and C8 confirmed that it is not important to obtain high water repellency, but it is very important to obtain lower surface free energy, as shown in Figure 40 [243]. The alkyl group surface can be easily removed by oxidative or reductive plasma strip by means of N2O or N2/H2 plasmas [244]. Farid et al. demonstrated the STI structures with 9 nm CD, 25 nm pitch, and 160 nm fin height [244].

12. Metal Materials Interconnect

As semiconductor technology keeps evolving along the Moore’s Law, the critical dimension (CD) of the BEOL circuits must continue shrinking as well [1,245]. Therefore, interconnects have become one of the factors that greatly affect the performance and reliability of semiconductor devices. Over the past 20 years, dual damascene copper replaced the subtractive etch of aluminum for BEOL interconnect fabrication [2,246]. However, scaling can significantly reduce the electromigration (EM) lifetime for Cu interconnects, raising serious reliability concern for advanced technology nodes. Some improvements have been developed to improve EM and to extend the technology node by using CoWP metal cap [247,248] and Mn alloying [249,250,251].
However, in the 7 nm technology node and beyond, copper interconnection in device scaling is facing difficulty in resolving the trade-off between line resistance (Line R) and manufacturability/reliability [252]. Because of this limitation, Cu is facing challenges, and alternative conductors and integration technologies have been investigated to overcome the issue.
Scaling traditional PVD TaN barriers often lead to inconsistent film coverage on ultra-low K dielectrics. ALD TaN could provide a solution due to the nearly 100% conformality even in HAR structures, hence reducing “pinch off” and Cu void formation. However, ALD TaN films contain higher impurity content than PVD TaN films resulting in higher via R and poor interface quality. Moreover, ALD barriers have not delivered on their promise as PVD Ta flash and/or PVD Cu seed layers were still needed to provide a good barrier-Cu interface [253]. Some integration schemes of modified ALD TaN Barrier with Co/Ru liner are reported to reduce line/via resistance and improve interface quality and reliability as required in advanced technology nodes. Zhiyuan Wu et al. reported a novel approach to enable thin (≤15Å) ALD-based TaN barriers [254], Co liner is used for copper electroplating. The use of a post-ALD treatment in a PVD chamber resulted in ALD films with resistivity, density, and Ta/N ratio similar to industry-standard PVD TaN. This approach enables conformal Cu barrier without reliability degradation compared to PVD TaN and overcomes the shadowing effect of the traditional PVD approach improving the metal-fill process window and promotes lower via resistance through barrier thickness reduction. Bhosale et al. [255] reported scaling of the PVD component in the ALD + PVD process followed by post-treatment methods such as PPT (physical-vapor-deposition post treatment) and IPT (in-situ plasma treatment). The PPT and IPT processes produce an interface more suitable for liner (CVD Co/Ru) and PVD Cu seed. These results show the improvement by Cu gap-fill as well as the decreased via resistance (R) by sputtering TaN at bottom of the via. IPT processes densify the ALD films and improve EM performance. A promising integration scheme of 20 Å ALD + IPT TaN/20Å Ru/DCR was developed with 20X improvement in electromigration. Lanzillo et al. [256] reported the line resistance, via resistance, electromigration, and time-dependent dielectric breakdown (TDDB) for Cu interconnects with TaN/Co barrier/liner metallization. This work varies the Co liner thickness at 36nm BEOL pitch. The outcome of this study indicates that If the PVD treatment is followed by deposition of a thin ALD TaN, the Co layer thickness can be scaled down to 10Å without any penalty in either EM or TDDB. The combined PVD/ALD process with 10Å Co enables a 14% reduction in RC delay relative to control split (20Å PVD TaN + 30Å CVD Co). Motoyama et al. [257] reported sidewall voids observed in case of Co liner, whereas void-free Cu fill was achieved with Ru liner in 30 nm pitch interconnects. The results indicate that Ru liner is superior to Co liner in terms of Cu fill at these nanoscale dimensions. The combination of 1 nm PVD Ta/1 nm ALD TaN/ 1.5 nm CVD Ru could achieve void-free Cu fill in 30 nm pitch interconnects and comparable performance to PVD TaN for both EM and TDDB. Ru CMP issues (Cu recess and trench height variability) were mitigated significantly by scaling down the Ru liner thickness with PVD Ta/ALD TaN barrier stacks.
For 7 nm technology with via and trench sizes below 15 nm, it is highly desirable to prefill the via with Co, or other low resistance metals, to reduce via resistance and improve the Cu fill margin [258]. The via prefill is beneficial for the Cu damascene process for several reasons. First, a barrierless via prefill material moves the Cu trench barrier location from the bottom to the top of the via, thereby reducing the resistance by increasing its cross-sectional area in tapered via. Second, in moving this trench barrier, the damascene metallization dimensions are more relaxed, which promotes a void-free Cu trench fill. Third, the required step coverage for the Cu barrier and liner in the trench at the via top location will be reached more easily with thinner films compared to the via bottom location. For these reasons, the via prefill concept could be one of the most promising innovations for the damascene process in the coming nodes. Zheng et al. [258] and Marleen et al. [259] respectively introduced Co via prefill concept to achieve void-free and bottom-up fill of metal in advanced interconnects. Zheng et al. reported a highly selective CVD Co deposition on Cu to fill a 45nm diameter 3:1 aspect ratio via in a Cu dual damascene structure [258], and they achieved void-free Co fill of the via.
Several reports have also demonstrated the feasibility of the via prefill concept using the electroless deposition (ELD) technique Co as material to pre-fill vias [259,260,261]. A main benefit of having Co via is the reduction of the via resistance. As the via CD shrinks, the electroless Co via shows a larger relative resistance reduction from the conventional PVD-ECP via. For example, at about 40 nm via CD, the via resistance reduction is ~ 30% [260]. By using hybrid Cu metallization with Co via-prefill, the resistance of a 12 nm half-pitch via can be lowered by up to 42% in 87° tapered vias and up to 52% in chamfered vias [262]. Therefore, selective Co process for contact and via prefill has the potential to enable future scaling of advanced technology node.
As a promising candidate, Ru has received great attention because of its low bulk resistivity, superior electromigration reliability, and the prospect of barrier-less process [263,264,265]. Currently, the damascene implementation of ruthenium lines is hampered by the availability of optimized CMP. A semi-damascene integration approach is a proposed solution for multilevel Ru interconnect [266,267]. Danny Wan et al. reported that Ru films were patterned using EUV single exposure and a subtractive etching step to generate lines with CD down to 10.5 nm. The key advantages are that the process can be barrierless, grain size can be tuned, there is no requirement for metal CMP, and eliminates the need for plasma processing of low-k trenches. This approach has excellent process control, stability, and results in very high line yield.
Resistance contribution within Ru interconnects are evaluated using first-principles density functional theory, which is based on transport calculations using the non-equilibrium Green’s function. Results show that the defects and impurities can change the Ru resistivity by an order of magnitude. Seong Jun Yoon et al. [268] reported that maximizing the grain sizes in Ru wires can effectively lower the total wire resistivity. With the successful suppression of the grain-boundary scattering effect, a reduction in total wire resistivity of more than 30% was achieved. The result suggests by that enlarging the grain sizes of Ru and combining it with a subtractive patterning process [266,267], the physical limitation of nano-grain structures in damascene Ru can be solved.
ALD Ru was studied as an option for barrierless metallization for the future interconnects [264]. Ru shows regular nucleation on SiO2 without any growth inhibition, and the adhesion was significantly increased to 7.0 ± 2.3 Jm2 by applying an ALD TiN adhesion promoting layer with a thickness as low as 0.25 nm. The Ru lines with widths of about 10 nm show excellent EM behavior on single damascene test vehicle. Time-dependent dielectric breakdown measurements revealed negligible Ru ion drift into dense low-k dielectrics (with k ~3.0 up to 200 °C), demonstrating that Ru has the potential to be used as a barrierless metallization as future interconnect solution.
PECVD of Co was evaluated to fill DD structures as an interconnect wiring metal alternative to Cu [269]. Void-free gap fill of damascene structures down to 10 nm CD was demonstrated using just 1 nm ALD TiN liner. A CMP process without Co residues or corrosion has been also demonstrated. Also, 22 nm half-pitch Co lines with 1 nm ALD TiN liner in porous ULK meet the 10-year lifetime TDDB reliability requirements [269]. The experimental data indicate that the Co EM performance with 1 nm ALD TiN liner can be better than that of Cu. CVD cobalt has been considered, but it appears too costly and time consuming for high volume manufacturing. Electro chemical deposition (ECD) of Co is deemed the most promising candidate. Intel has shown a new metallization solution to meet the reliability challenges of technology scaling [270]. For this process, at trench contact, electroplating of Co occurs on a CVD TiN barrier/adhesion and a CVD Co seed layer. EM failure time is observed to be at least four orders of magnitude higher for Co fill interconnects compared to Cu alloy. Moreover, superior intrinsic TDDB and stress induced voiding reliability was also demonstrated for Co low-k interconnects.
A self-forming barrier uses a Cu-X alloy-based seed layer to form the barrier layer by post metallization annealing. Among the tested alloying elements are V, Al, and Mn [249,250,251,271,272]. Mn-based self-formed barrier (SFB) is an attractive alternative technique from RC performance point of view. Previous studies based on PVD Cu-Mn alloy seed and CVD depositions of Mn from various precursors have been demonstrated alternative route for SFB formation [273,274,275,276]. Nogami et al. from IBM [252] showed Line R cross-over point to alternative metals depends on barrier/wetting layer thickness. When the barrier/wetting layer is not scaled, the performance of the line Ru interconnect is comparable to that of Cu in the 5 nm node. Barrier/wetting layer scaling without losing EM and via chain yield is possible by employment of PVD/ALD-TaN and/or through-cobalt self-forming barrier (tCoSFB) [252,277,278]. Figure 41 depicts the scheme for tCoSFB [33,277]. When the thickness of TaN becomes thinner, this results in defects and TaN barrier performance becomes worse, which can be resolved by adding Mn to Cu. Mn will diffuse out of CuMn through the CVD-Co or Ru wetting layer to reach the ultra-thin TaN layer, forming Mn-O compounds to obtain good barrier properties. Compared to pure PVD-TaN layer, Mn-assisted TaN barrier has better EM performance [252,278]. For beyond 7 nm node BEOL, line R is assessed among four metallization schemes: Ru, Co, Cu with TaN/Ru barrier, and Cu with tCoSFB [278]. Line-R vs. linewidth of Cu fine wires with TaN/Ru barrier cross over with barrierless Ru and Co wires for beyond-7 nm node dimensions, whereas Cu with tCoSFB remains competitive, with the lowest line R for 7 nm and beyond. The tCoSFB scheme meets requirements in line R and EM reliability.
Sub-nm barrier is urgently demanded for ultra-scaled interconnects in the near future. To address this issue, 2D layered materials have been proposed and tested as diffusion barrier alternatives because of their atomically thin body thickness. Recently, graphene has been suggested as a new candidate for an ultrathin Cu diffusion barrier material due to its unique characteristics including excellent electrical and mechanical properties, thermal and chemical stability, and the ability to effectively block the diffusion of Cu atoms into ILD material [279,280]. For example, the graphene-capped Cu wires exhibit 2.4–3.5 × longer EM lifetime than thermally annealed Cu. The Cu resistivity is reduced by about 12–30% and the breakdown current density is increased by 5–7%. The graphene cap improves the Cu EM lifetime by mitigating Cu void formation at the interface [281]. Moreover, studies have shown that 2D layered single-layer molybdenum disulfide directly grown on SiO2 at 400 °C is achieved by metal-organic chemical vapor deposition (MOCVD). Results indicate that sub-nm MoS2 diffusion barrier can effectively stop Cu diffusion, significantly enhance dielectric lifetime, and is able to reduce Cu resistivity [282,283].
Carbon Nanotubes (CNTs) have been introduced to the design of transistors and have been experimentally shown to produce a higher scaling factor to the collector current when the scaling of the chip was larger than that of the current standard. Due to strong SP2 bonding between carbon atoms, CNTs are much less susceptible to EM problems than copper interconnects and can carry high current densities [284]. Comprehensive reviews about carbon nanotube interconnects are presented respectively by Uhlig [285] and Santos [286], and reviews include the following information: (1) Process and growth of carbon nanotube interconnects compatible with back-end-of-line integration, (2) modeling and simulation from atomistic to circuit-level benchmarking and performance prediction, (3) characterization and electrical measurements, and (4) previous research work on single-wall, multi-wall carbon nanotubes (MWCNT) and composite of copper and SWCNT (Cu-SWCNT). Carbon nanotubes, more specifically MWCNTs, have been identified as potential alternatives to diminish copper interconnect scaling issues [286]. However, using CNTs as interconnect material in semiconductor manufacturing is a huge paradigm shift, even higher than the change from aluminum (Al) to copper (Cu) in backend of line metallization [285]. Therefore, a lot of research efforts are still needed to realize the interconnection of carbon nanotubes.

13. Advanced Devices Reliablity

With CMOS technology development, the reliability issues of advanced CMOS technology are becoming more challenging and complicated due to the novel material, novel process and novel device structure, e.g., Ge/GeSi channels, atom layer etching (ALE) technology, nanowires and nanosheets, etc., specially for 5 nm node and beyond [287,288,289,290]. Next, the transistor reliability challenge of advanced CMOS will be discussed.

13.1. Process Dependent Reliability

Recently, novel devices such as FinFETs, nanowires, and nanosheets, as the best candidates for advanced CMOS technology, have been widely studied [287,288]. In order to meet the better performance of novel devices, advanced processes e.g., filling and etching in 3D device structure, are proposed [291,292]. Therefore, due to the diversity and complexity of advanced process, the reliability issues of advance CMOS show obvious process dependent characteristics.
Take high-k/metal gate process as an example. As the filling metal, the atomic layer deposition Tungsten (ALD W) is widely applied due to better step coverage and conformity of deposited thin films. Usually, there are two kinds of ALD W process, one is using Diborane (B2H6) precursor, and the other is using silane (SiH4) [293,294]. The FinFET devices with different ALD W precursors show obvious sensitivity of electrical and reliability characteristics. In summary, the SiH4-based devices show better and faster negative bias temperature instability (NBTI) characteristics than B2H6-based devices, due to the fluorine incorporation and the compressive strain in the channel, as shown in Figure 42 [295].
Furthermore, the film thickness is another important elementary to reliability issues. The atomic layer deposition Titanium Nitride (ALD TiN) layer plays a very important role in CMOS integration [296,297,298,299]. The devices with different thickness of ALD TiN show the similar electrical parameters, while the reliability issues of devices are obviously different. Usually, the devices with thin ALD TiN layer show worse hot carrier injection (HCI) and better bias temperature instability (BTI) than that with thick ALD TiN due to the chlorine diffusion [10,296] and nitrogen diffusion [297,298], respectively. Therefore, it is key to restrain reliability degradation of advanced CMOS technology by controlling the process.

13.2. Small-Scale Related Reliability

In advanced CMOS technology, there are other key reliability issues concerned, such as self-heating (SH) and random telegraph noise (RTN), which are induced by small scale of 3D device [300,301,302,303,304,305,306,307,308].
Usually, self-heating (SH) is very sensitive to HCI and BTI [300,301,302], and self-heating of nanosheets could induce variability of devices, which makes the reliability of nanosheets more complicated, as shown in Figure 43 [303]. Furthermore, nanosheets show better resilience to a SH than FinFETs, and the SH of nanosheets is very sensitive to the structure of nanosheets, such as the width of nanosheets [304]. Actually, RTN is physically described using the “normal” two-state trap model, which is induced by single trap in a device [305,306,307,308,309,310,311]. It means that the two or more traps are independent and have a superposition effect. Recently, Wang et.al proposed a compact model for predicting the occupancy probability of switching traps for RTN, which is helpful for RTN reliability in variability-aware circuit design in advanced CMOS technology (see Figure 44) [312].
Part Three: Materials in Beyond Moore Era

14. III-V Materials

14.1. III-V Materials Growth

III-V compound semiconductors are regarded as superior candidates for Si-based NMOS channel materials due to their high electron mobility. For optoelectronic integrated circuits, III-V materials have a broad application in active and passive devices, such as lasers, detectors, and modulators. Therefore, III-V compound semiconductors have occupied an increasingly important role these years and a surge of interest among scholars has been aroused.

14.1.1. Challenges in III-V Crystal Quality

Due to the different polarity of materials, which may lead to unexpected electrical impacts, the big difference in thermal coefficient, and the huge lattice mismatch between III-V materials and Si, for examples, about 4% for GaAs/Si interface, 8% for InP/Si, and 12% for InAs/Si, the heteroepitaxy of III-V materials based on Si have faced with the following challenges.
Compared with single element materials, the first challenge that III-V compound semiconductors need to overcome in heteroepitaxy is antiphase boundaries (APDs) [313,314]. Taking Figure 45 as an illustration, GaAs is grown on Ge with incomplete pre-layer coverage and several odd-atom steps are introduced in the surface during the process of material growth. Here, Ga atoms are illuminated as red dots while the yellow and blue dots stand for Ge and As atoms, respectively. Ga-Ga and As-As bonds, and sometimes a combination of these two situations, can be observed in GaAs epi-layer, as shown by the dashed line inserted in the picture. APDs will eventually result in unexpected nonradiative recombination surfaces and deterioration of the devices performance.
In state-of-the-art epitaxy, normal AsH3 or trimethyl Ga (TMGa) would first be utilized in the reactor for Si surface passivation and to prevent the incomplete pre-layer coverage. Unfortunately, however, odd atoms layer steps are still observed in (001) on-axis Si substrates. Therefore, cut-off substrates and other epitaxial technologies are employed, which will be discussed in next part.
In addition to APDs, some common defects such as threading dislocations (TDs) and stacking faults (SFs) also exist in epi-layers due to the lattice mismatch and the difference in thermal coefficient.

14.1.2. Global Epitaxy

APDs, TDs, and SFs have a significant impact on the crystal quality of III-V materials grown on Si-based substrates. To suppress the dislocation density, some mature epitaxial technologies e.g., dislocation filter layers, buffer layer, low temperature/high temperature (LT/HT) technology, thermal cycling annealing, CMP after epitaxy, cut-off substrates, and necessary pre-treatment, are applied. It is worthy of note that the surface of cut-off substrate, of which oriented to (001) direction is 4°, 6°, etc., can effectively annihilate the APDs, as shown in Figure 45c. Another way to improve the epi-layer quality is to grow stacks of Ga-Ga or As-As bonds from two odd-atom steps converge and annihilate before it penetrates the whole GaAs epi-layer [315,316,317].
Dislocation filter layers (DFLs) is an excellent method to reduce the threading dislocation density TDD) where superlattices (SLs) e.g., InGaAs/GaAs, AlGaAs/GaAs, and InAlAs/GaAs are grown [318,319,320]. Hayafuji et al. [321] reported that the mechanism behind SLs effect is the hardening of crystal and bending of dislocation along the superlattice interface. In addition, growth of buffer layer of Ge with high epitaxial quality, [322,323], LT/HT epitaxy (see Figure 46a), and CMP are popular methods to control the propagation of misfit dislocations, as shown in Figure 46b [324].

14.1.3. Aspect Ratio Trapping Method

After Langdo et al. firstly reported the defects necking technology [325] and the aspect ratio trapping (ART) method, plenty of work was proposed to improve the quality of epi-layers. Based on ART and CMP, a high-quality Ge crystal can be obtained on Si substrate. Thanks to the similar lattice coefficients (αGe = 5.658Å, αGaAs = 5.653Å), a low dislocation density GaAs layer can then be prepared on the Ge/Si substrate [326].
In recent years, V-grooved trench Si substrates has been discussed by Wang, et al. [327] as an alternative to ART method. Figure 47a shows the process flow and the outcome from V-groove trench method. Compared with conventional InP epitaxy, V-grooved trenches technology adopts potassium hydroxide (KOH) solution to form (111) orientation as initial growth surface to suppress the APDs. The idea behind this is to capture TDs in the V-groove and, thus, a dislocation-free epi-layer can be later obtained with a thin buffer layer.
Integrated with other epitaxial technologies, GaAs, InP, and even quantum wells on Si, Ge, SOI, GOI substrates are demonstrated in [328,329,330,331,332]. As an example, Shi [333] made use of InGaAs/GaAs SLs and thermal cycling annealing to achieve high-quality InAs/InGaAs/GaAs quantum dots (QDs) structure on V-grooved patterned Si in Figure 47b,c. The surface roughness reported in root mean square was 1.46 nm while threading dislocation density reached 9.1 × 106 cm−2.

14.2. III-V High Mobility Transistors

As the development of silicon-based electronics has approached the limit of scaling for increasing the performance and chip density, interest has been greatly increased in introducing non-traditional materials as high mobility channels for devices beyond the 14 nm technology node. III-V compound semiconductors have started to attract significant attention owing to their higher intrinsic mobility than Si, which can potentially be used to replace Si as the material of transistor channel [334]. III-V transisitors, such as heterojunction bipolar transistors (HBT), metal-semiconductor field effect transistors (MESFETs), and heterojunction field-effect transistors (HFETs), which includes high electron mobility transistors (HEMTs), has extended the advantages of silicon counterparts to significantly higher frequencies and become natural choices of device for wireless communication [335]. Recent innovations on III-V transistors include high-performance InGaAs buried channel [336,337], surface channel MOSFETs [338], sub−80 nm E–mode InGaAs/InAs HEMTs [339,340], and InSb p-channel HEMTs [341] with outstanding logic performance at short channel lengths and low supply voltages. In order to optimize the performance of CMOS, it has also been put forward that we can combine Ge with III-V materials. Typical cases are shown in Figure 48, which depicts the III-V nMOSFETs and Ge pMOSFETs [342].
The integration of Ge/III-V with the Si CMOS platform is a promising project for realizing ultra-low-power integrated systems in the 10 nm technology node and beyond. Figure 49 shows a variety of possible applications of III–V/Ge materials on the Si CMOS platform [343].
The integration of a variety of functional devices including IV/III-V-based optical interconnect components with advanced CMOS is also regarded as the possible path for realizing a system with low power consumption under the given system performance. However, there are many critical issues for preparing IV/III–V-based CMOS and TFETs on the Si platform [344], as shown in Figure 50. These challenges can be concluded as: (1) Obtaining high-crystal-quality IV /III-V layers on Si substrates, (2) exploring process on gate stack to realize superior MOS interface quality, (3) MOSFETs and TFETs with low resistivity, low leakage current, and steep impurity profiles for S/D, (4) integration of process to realize ultra-short channel devices, and (5) total CMOS integration including Si CMOS.
Kim et al. [345] investigated the impact of lateral and vertical scaling of In0.7Ga0.3As HEMTs on their logic performance, and the HEMT structure for logic applications, as shown in Figure 51. The outcome reveals that reducing the In0.52Al0.48As insulator thickness results in a better electrostatic integrity and the short-channel behavior is improved down to a gate length of around 60 nm. Characteristics of this enhancement-mode of 60-nm HEMTs are: VT = −0.02 V, DIBL = 93 mV/V, S = 88 mV/V, and ION /IOFF = 1.6 × 104, at VDD = 0.5 V.
For devices such as the field effect transistor (FET), the current conduction is dominated by the drift of the majority carrier, and thus the majority carrier becomes the critical parameter that limits the transconductance: gm = dIDS(sat)/dVGs∞μ/L; where L refers to the channel length of the FET structure. This measurement is a characterization of variation in the channel current per gate bias change. With less charge transport to the gate electrode to achieve a fixed change in the channel current, high transconductance allows fast switching and large signal to noise ratio, making it an important figure of merit in high frequency FET applications. Electron mobility of 1500 cm2/Vs is a typical value at low doping level in Si, but III-V compounds (GaAs in particular) are used for their higher intrinsic mobility [346]. Hur et al. [347]. presented a theoretical model that describes the degradation of carrier mobility by charged dislocations in quantum-confined III-V semiconductor metal oxide field effect transistors (MOSFETs). They chose In0.53Ga0.47As as a channel material because In0.53Ga0.47As is the most popular III-V channel material used in field effect transistors owing to its extremly high electron mobility and relatively small lattice mismatch with silicon substrate.
Figure 52 illustrates the carrier scattering events in In0.53Ga0.47As FinFET with a charged dislocation located near the center of the channel, which is fully charged, screened by the environmental free carriers, and vertical to the top gate. From the calculated dislocation mobility, they concluded that a nano-scale III-V compound semiconductor device loses its merits over silicon if it bears inevitable dislocations (when fabricated on silicon wafer). Scattering due to dislocation could be the dominant mechanism in quantum-confined, short-channel MOSFETs. This kind of severe degradation of the effective channel mobility would lead to malfunctioning of the transistor cell, eventually resulting in the failure of the entire logic chip.
By virtue of their high electron and hole mobilities, InGaAs and Ge have emerged as the most promising candidates for n-and p-MOS, respectively. Gang Wang et al. used the ART technique to fabricate InGaAs-based devices on 200 mm Si wafers and to create virtual III–V/Ge substrates.
Figure 53 depicts the InGaAs devices process flow and the schematic of transistor structure [348]. Electrical characterization of the devices shows that there is a clear gate modulation effect on top of the high level of source to drain leakage. This leakage was determined to be the result of the unintentional n-type doping of the InP buffer layer.

14.3. III-V as Optoelectronic Materials

For decades, integrated circuits based on Si and Ge have triggered a modern industrial revolution, which has profoundly contributed to the change of our social life. As the first generation of semiconductor materials, Si-based devices can be well integrated with digital or analog circuits, which is convenient for the design and implementation of system-on-chip (SoC). Due to the characteristics of material and bottleneck of process, however, the application of Si devices in high-frequency scenes is limited, and they are mainly used in S-band and below. In contrast, III-V compound semiconductor devices with excellent electron mobility, high saturated velocity, and luminous efficiency are considered to be the mainstream solutions in the areas of microwave and millimeter wave communication, ultra-high speed digital circuits, optoelectronics, etc.

14.3.1. Characteristics and Applications of InP

Devices suitable for civilian and military applications, such as solid-state luminescence, microwave and optical fiber communication, and navigation can be fabricated by relying on III-V compound materials. Taking the commonly used InP and GaAs as examples, efficient electro-optical conversion benefits from the direct bandgap structure, which causes them to become appropriate choices for devices like light emitting diodes (LEDs) and laser diodes. Low-power high-frequency microwave integrated circuits are promoted because of the characteristic of high electron mobility. Owing to the outstanding thermal stability and strong radiation tolerance, they are also adopted in the fields of aerospace and solar cell as well [349]. Comparatively speaking, GaAs has attracted people’s attention earlier and the cost is cheaper while InP has a later start but rapid development due to the advantages that other materials do not. In the range of 40~50 GHz, GaAs-based devices occupy the dominate role because of the lower cost and mature technology, while InP-based devices are preferred for frequency over 75 GHz. InP is widely used as substrates for electronic and optical devices such as HEMTs, HBTs, LEDs, etc. Some featured applications involving InP HEMTs are summarized in Table 2.
In addition, thermal conductivity of InP is higher than that of GaAs, which means that the temperature of InP-based device is lower, and the output power is higher when the device operates under the same power. When it comes to the optical communication system, the operating window of quartz fiber is 1.3~1.55 μm which is because the dispersion of optical fiber is close to zero near 1.3 μm and the transmission loss is exceedingly low near 1.55 μm. Thanks to the matching of lattice constant, InP is often used to prepare the necessary photoelectric devices in these systems such as InGaAs detectors, InGaAsP lasers, AlGaInAs modulators, etc. At present, lasers, modulators, detectors, and integrated modules with fabulous monochromaticity based on InP are used widespreadly in optical communication network [350].

14.3.2. Structures and Principles of InP HEMTs

The particular thing about HEMT devices lies in the introduction of heterojunction to form a two-dimensional electron gas (2DEG) channel layer apart from the metal-semiconductor contact, which forms the Schottky contact and source-drain ohmic contact [358]. When a heterojunction is formed by two kinds of materials with different bandgap, electrons will be transferred between two layers as a result of the difference of Fermi levels, which lead to the energy band bending on the interface. As illustrated in Figure 54, the electrons in InAlAs will be transferred to the undoped InGaAs with lower energy and accumulated at the interface. Ionized donor atoms with positive charge thereby are left in InAlAs. An extremely thin potential well is generated, consequently, and the energy band bends at the same time. The thickness of this thin potential well is usually a few nanometers, which is almost the same as the wavelength of the electron, and thus the electron energy in the potential well is quantized in the direction perpendicular to the interface. The electrons can move freely in the two-dimensional direction parallel to the interface but the movement in the direction perpendicular to the interface is restricted, which gives rise to the 2DEG. In fact, the electrons in InGaAs well are provided by the doped atoms in InAlAs, which realized the separation of electrons and donor atoms in space, resulting in the reduction in the scattering of ionized atoms and improvement of the electron mobility. Therefore, such a structure is also known as a modulation doped structure. The electron mobility can be further enhanced if an undoped spacer layer is deposited between the barrier layer and the 2DEG layer. It will decrease the Coulomb scattering caused by the interaction of doped atoms with channel electrons.
Figure 55 is a schematic of a typical InP-based HEMT [358]. The device structure consists of, from bottom to top, an InAlAs buffer layer, an InGaAs channel layer, an InAlAs spacer layer, a carrier supply layer or δ -doping plane, and an InAlAs barrier layer. These layers are grown on InP substrate. Three electrodes are generally included in InP HEMT, which are source, drain, and gate. The spacer layer, heavily doped layer, and barrier layer are grown with the same materials, which has a wide bandgap, and the channel layer is made of narrow bandgap material. Also, upon the barrier layer, InGaAs cap layer with heavy doping is often used to ensure low contact resistance for the S/D ohmic electrodes. Schottky contact is made by the etching of cap layer at the portion of the gate electrode. The height of barrier has a great influence on the leakage current of the gate, and it determines the breakdown characteristics of this device. The shorter the gate, the faster electrons are transported through the channel, thus the operating frequency of devices is increased. However, it may result in a larger resistance of gate electrode. Under this circumstance, the conventional solution is to design the gate as T-shape in order to ensure the decreased transmission time and small gate resistance. Transistors that are able to present current gain cut off frequency ( f T ) and maximum oscillation frequency ( f max ) beyond 640 GHz simultaneously are pseudomorphic InAlAs/InGaAs HEMTs grown on InP substrates [359].
In addition to the lattice matched InAlAs/InGaAs heterojunction, sometimes the other structure design is applied in monolithic microwave integrated circuit (MMIC), which takes InP as the substitution material for half of the InGaAs channel. This is due to the strong collisional ionization in the InGaAs channel. The generation of holes causes a decrease in breakdown voltage, an increase in output conductance, and gate current, which has an intense impact on the noise coefficient of the low-noise amplifier (LNA). InP has a high ionization threshold energy (~1.69 eV) than that of InGaAs (~0.92 eV) and the characteristics of the electron transport in InP are different from those in InGaAs when high- field is operated. Chevalier et al. observed that the composite channel improved significantly in conductance, transcondutance, and cut-off frequency [360]. The importance on RF performance of electron confinement, impact ionization, and parasitic capacitance influenced by layer sequence and process are also investigated. Furthermore, Chin et al. adopted the InAs/InAlAs superlattice to overcome the lattice mismatch and obtained the InAs channel on the InP substrate successfully. Profiting from the increased In composition in the In0.53+xGa0.47−xAs channel, the lower electron effective mass, higher electron mobility, peak velocity, and better carrier confinement are achieved in the quantum well [361].

14.3.3. Developments of InP HEMTs

The types for heterojunction channels in HEMT are mainly available in three: Flavors-pseudomorphic HEMT (pHEMT), metamorphic HEMT (mHEMT), and induced HEMT (iHEMT) [362]. The representative material systems have been discussed in the past few decades such as AlGaAs/GaAs [363], AlGaN/GaN [364], and InAlAs/InGaAs [365]. In 1980, the first HEMT transistor was demonstrated in Fujitsu Labs with selectively doped GaAs/AlxGa1−xAs heterojunctions [366] based on the concept proposed by Ray Dingle and collaborators in 1978 [363]. Results described a prominent improvement in HEMT mobility than that of the GaAs MESFET in 77K and 300K and they had a rough estimation that the high-speed performance of HEMT is capable of being 3-times superior to the MESFET. The first InP-based HEMT device was prepared in 1986, as shown in Figure 56, which has a tremendous superiority in power gain and current gain ( f T = 30 GHz, f max = 62 GHz) than that of the GaAs pHEMTs ( f T = 23 GHz, f max = 40 GHz) with comparable geometry [367].
Compared with the AlGaAs/InGaAs interface of the GaAs-based HEMT, the InAlAs/InGaAs interface material of the InP-based device has higher channel electron mobility, superior gain performance, and low noise figure. On the other hand, the discontinuity of conduction band of the InAlAs/InGaAs interface is more obvious than the AlGaAs/InGaAs interface and the concentration of electron gas in the channel is higher, which leads to the enhancement of gate control effect as well. Meanwhile, it is argued that f max in excess of 1 THz [368] and a noise figure as low as 0.71 dB at 95 GHz [369] can be achieved in InAlAs/InGaAs pHEMTs based on InP. In 1992, InP-based HEMT device with low-conductance drain (LCD) design for lattice-matched InAlAs/InGaAs/InP structure was successfully developed by increasing the effective gate length of the HEMT in exchange for reduced peak channel electric field [370]. Both InGaAs with high electron mobility and InP with the high drift velocity are exploited at low electric field and high electric field respectively in a distinctive HEMT channel structure proposed in the same year [371]. It is also worthy of note that there is no kink in the I–V characteristics of double channel devices and this phenomenon indicates the InGaAs/InP double channel HEMTs can be well fitted in high-speed and high-power applications. The best output power of 130 mW measured from a single fixtured InP-based MMIC at 94 GHz in 1997 is a W-band MMIC amplifier with passivated 0.15 um gate length, which takes advantage of the InGaAs/InAlAs/InP HEMTs [372]. The first amplifier whereby a striking amount of gain was observed at sub-millimeter wave frequencies is a three-stage LNA, which makes use of the advanced InP HEMTs, and 16 dB gain at 340 GHz and >20 dB gain at 280 GHz were realized [373]. An essential attempt for 850 GHz amplifier was reported by the utilization of InP HEMTs, and this nine-stage amplifier reaches a peak gain of 6 dB at 850 GHz, which becomes promising for THz imaging and communications [374]. Lai et al. reported the f max of over 1 THz for the first time using 50 nm InP HEMTs technology [368] and then Mei et al. improved f max to 1.5 THz by employing 25 nm InP HEMTs process [375]. The physical properties of several representative InP HEMTs are summed up in Table 3.

15. Two-Dimensional Materials

Two-dimensional (2D) materials refer to materials that reach the nanoscale in a certain direction and exceed the nanoscale in the other two dimensions. Due to its special morphology and the high anisotropy of crystal growth, electrons can generally move freely in two dimensions, thus exhibiting special electrical properties. Graphene is a 2D carbon nanomaterial with hexagonal honeycomb lattice structure composed of carbon atoms with sp2 hybrid orbitals. In 2004, Novoselov et al. successfully prepared graphene with a single carbon atom layer from graphite by mechanical exfoliation, proving that graphene can exist alone [383]. Because of the lack of a bandgap, graphene is usually described as a zero-gap semiconductor, or better yet, as a semimetal (Figure 57) [384]. This semi-metallic graphene has ultra-high carrier mobility and exhibits excellent mechanical, electronic, and electromagnetic properties. After the successful separation of graphene, the concept of 2D materials was formally proposed and found to have promising applications in many devices.
In addition to graphene, other 2D materials can be included e.g., single-elemental silicene, germanene, stanene, black phosphorus, etc.; transition metal dichalcogenides (TMDs) such as MoS2, WSe2, ReS2, PtSe2, etc.; semimetal chalcogenide (SMCs) such as GaS, InSe, SnS, SnS2, etc.; and other 2D materials such as h-BN, CrI3, NiPS3, Bi2O2Se, etc. These 2D materials have completely different energy band structures and electrical properties, covering material types from metals, semi-metals, and semiconductors to insulators. By stacking 2D materials of different types, a more functional material system can be constructed. With its advantages of high specific surface area, strong electrical and thermal conductivity, high light transmittance, and high carrier mobility, 2D materials have broad application prospects in the fields of high-performance electronic devices, optoelectronic devices, energy storage, and sensors.
Classes and representative examples of 2D materials together with representative materials for each group are shown in Figure 58 [385].

15.1. Synthesis Methods for 2D Materials

In recent years, people have developed a series of methods to prepare high-quality thin layers or single-layer structures of 2D materials. The preparation methods are mainly divided into two categories: “top-down” exfoliation methods and “bottom-up” synthesis methods [386]. Due to the particularity of its structural dimensions, 2D materials have many excellent properties, which provides more possibilities for the design and application of new functional materials [387]. A series of 2D materials have been successfully prepared by micromechanical exfoliation, liquid exfoliation, chemical vapor deposition, and hydrothermal methods.

15.1.1. Micromechanical Exfoliation

Graphite, molybdenum disulfide (MoS2), hexagonal boron nitride (hBN), and other materials exist in the form of stacked 3D layers. These materials are combined by the weak van der Waals force, and 2D layered materials can be obtained through micromechanical exfoliation. To understand the basic properties of the materials, the single layer should be separated from the bulk materials. However, it was believed that the structure of the 2D materials would undergo structural deformation without the support of the substrate due to thermal fluctuations, and even lead to structural damage [388], which did not attract enough attention. Micromechanical exfoliation is a traditional and mature method to prepare 2D material. It could break the weak van der Waals force between the bulk crystal sheets without breaking the covalent bonds in the plane of each layer to prepare 2D materials. At present, this method has been used to obtain single-layer 2D materials of WS2, h-BH, Bi2Sr2CaCu2Ox [389]. However, on the other hand, it results in low productivity, and is not suitable for large-scale production.

15.1.2. Liquid Exfoliation

The basic principle of the liquid exfoliation method is to dissolve the layered material in a solution with its matching surface energy and exfoliate 2D materials by means of ultrasonic cleavage and shear force. Compared with traditional mechanical exfoliation, liquid exfoliation is a reliable method for mass production of single- or few-layer 2D materials. Liquid exfoliation can be divided into three main different categories: (i) Mechanical forces, (ii) ion intercalation or ion exchange (iii) oxidation.
Mechanical forces refer to the methods of applying mechanical forces such as ultrasonic waves and shear forces to the bulk materials in the liquid to destroy the van der Waals force between the layers to obtain single-layer 2D nanosheets. Generally, the specific solvent such as NMP, DMF, DMSO, IPA, etc., are all commonly used solvents for ultrasonic exfoliation. The use of these solvents with good tension matching to the surface of the crystal layer can minimize the energy consumption of exfoliation and prevent the re-stacking and reunion of nanosheets [390]. In addition to graphene, various materials such as TMDs, h-BN, and TMOs can also be prepared by this method [391]. This method is simple but the size of the obtained nanosheet is small, and it is easy to introduce defects and impurities. The shear method utilizes the shearing force generated by the rotor-stator agitator to exfoliate. Coleman et al. demonstrated the use of a rotor-stator mixer to exfoliate graphene from graphite [392], and then used a mixer to exfoliate h-BN, MoS2, and WS2 [393]. The shear force method has high exfoliating efficiency, which has been applied to the commercial production of graphene.
Ion intercalation or exchange refers to the insertion or replacement of ions existing on the base surface between the layers of bulk materials, which can weaken the interlayer adhesion and increase the interlayer spacing to facilitate subsequent mechanical force exfoliation. The methods of ion intercalation can be divided into chemical intercalation and electrochemical intercalation. It has high yield, but the disadvantage is that the process is complicated, and impurities are easily introduced. Graphene, h-BN, and many TMDs can be prepared using this method [394] (see Figure 59). The ion exchange method can be divided into cation- and anion-assisted exchange. This method can efficiently produce specific 2D materials in large quantities. Due to the presence of chemical reactions, the preparation process may change the electrical properties of the material and limit its application in electronic devices. 2D materials such as metal oxides, metal phosphorus tri-chalcogenides, and LDHs nanosheets can be prepared using ion exchange method.
Oxidative liquid exfoliation refers to a method used to synthesize graphene oxide. Graphite flakes are treated with strong oxidants such as sulfuric acid or nitric acid to introduce OH or epoxide functional groups on the surface, which increase the interlayer distance and facilitate subsequent exfoliation [395]. This method can effectively produce graphene oxide, but it is difficult to apply it to the preparation of other 2D materials since the introduction of strong oxidants increases the test risk.

15.1.3. Chemical Vapor Deposition (CVD)

The micromechanical exfoliation and liquid exfoliation mentioned above are both “top-down” methods. CVD, a “bottom-up” technique, can introduce one or several gas-phase precursors into a high-temperature reaction furnace, thus it can grow a 2D material with a large area and a uniform thickness on the surface of a metal or insulating substrate. This method can be used for large-scale device manufacturing of electronic and optoelectronic devices. The structure of the metal substrate is simple, which is conducive to the study of the growth mechanism. However, due to contact interference between metal and devices, the 2D materials on the metal substrates cannot be directly used for property measurement and device design. It needs to be transferred to an insulating substrate for further applications. The 2D materials grown on semiconductors or insulating substrates can be directly used in subsequent applications. Figure 60 is a schematic diagram of a CVD method for growing MoS2 and WS2 on the surface of SiO2/Si substrates [396]. Lee et al. synthesized a 0.72 nm thick single layer of MoS2 using MoO3 and element S at 650 °C in a one-step bottom-up method [397]. The method can achieve high crystallinity, high purity, and high yield preparation of various 2D materials including BN and transition metal dichalcogenides (TMDs) with controllable material size, thickness, and composition, etc. It is expected to be applied in the industrial production of ultra-thin materials, but it also has higher production costs.

15.1.4. Hydrothermal Synthesis

Hydrothermal synthesis refers to a “bottom-up” method for growing nanomaterials in aqueous or organic solution at high temperature and high vapor pressure in a closed reaction vessel. This method is particularly suitable for precursors with best solubility and growth phase stability under high temperature and pressure. Wang et al. prepared h-BN of ~4 nm thickness on the gram scale from boric anhydride, Zn powder, and N2H4*2HCl at 500 °C [398]. Ma et al. developed a facile method to synthesize MoS2 nanoflowers (MoS2NFs, as shown in Figure 61 coated on reduced graphene oxide (rGO) paper. The MoS2NF/rGO electrode exhibits good stability with negligible current loss, even after 300 cycles in the durability test [399]. The application of hydrothermal methods has been extended to obtain other 2D layered materials. These synthetic 2D materials can be deposited to prepare nanocomposites, nanodevices, and future nanotechnology applications. The hydrothermal method can prepare 2D materials with high crystallinity and low cost but have the disadvantages of invisibility of the reaction process, uncontrollable film thickness, and longer reaction time.

15.2. Nano-Scale Device Applications of 2D Materials

15.2.1. Electronic Devices

Some 2D materials have outstanding electrical and mechanical properties, which are suitable for electronic devices. Novoselov et al. [383] reported the first graphene-based field effect transistor (FET), exhibiting outstanding bipolar electric field effects. Shortly afterwards, Llinas et al. [400] demonstrated FETs made of graphene nanoribbons (GNR), which is only 9 atoms wide as shown in Figure 62. The devices with a thin HfO2 gate dielectric (effective oxide thickness of around 1.5 nm) exhibited high on-current (Ion > 1μA at Vd = −1 V) and high Ion/Ioff ~ 105 at room temperature. However, the inherent zero band gap effect of graphene severely limits the efficiency of FETs and their applications in logic circuits. Many studies were devoted to opening the band gap of graphene, but at the cost of sacrificing conductivity or consuming a large amount of energy. Seeking a graphene-like material with a suitable band gap has become a new solution. For example, FETs using TMDs (such as MoS2, WS2, MoS2, WSe2, and ZrS2) as channel materials have high switching ratios, low subthreshold swings, and high carrier mobility [401]. Radisavljevic et al. [402] used a 30 nm-thick HfO2 gate dielectric to demonstrate a room-temperature single-layer MoS2 mobility of at least 200 cm2V−1s−1 and demonstrated transistors with current on/off ratio of 108. In addition, 2D TMDs and black phosphorus have high flexibility, which is suitable for flexible electronic devices.

15.2.2. Optoelectronic Devices

In recent years, 2D materials have been highly valued for their unique optical properties, and have been applied to optoelectronic devices, including photodetectors, optical modulators, and lasers, etc. Xia et al. [403] prepared the first graphene photodetector using graphene transistors, which has wider light detection band and ultra-fast optical response compared with traditional photodetectors based on silicon and III-V materials. Later, Casalino et al. [404] successfully enhanced the optical absorption capability of the graphene/silicon interface by adding an enhanced optical resonator to the device and observed a wavelength-dependent photo response with external (internal) responsivity ~20 mA/W (0.25 A/W). Black phosphorus has great potential in the application of optical devices because of its direct energy gap and high light absorption efficiency. For example, a black phosphorus transistor with graphene as the transparent top gate has an optical response of up to 657 mA/W and a high-sensitivity frequency bandwidth exceeding 3 GHz [405]. Wang et al. [406] developed a MoS2 photodetector with a ferroelectric gate (as shown in Figure 63) in which three layers of MoS2 are used as photosensitive semiconductor channels, and the device exhibits a responsivity of 2570 A/W. Xie et al. [407] controlled the deviation from the perfection of the atomic lattice to narrow the band gap of the multilayer MoS2, realizing an ultra-wide detection range from 445 nm (blue light) to 2717 nm (mid-infrared).

15.2.3. Energy Storage Devices

2D materials can be applied to batteries or supercapacitors for energy storage since it has high specific surface area, short diffusion path, and good conductivity. Graphite is currently used as a negative electrode material of lithium ion batteries, which has a good cycle life but low theoretical specific capacity (372 mAh·g−1). The study found that the cycle stability of electrode materials TMDs can be significantly improved by combining TMDs with graphene to construct highly conductive flexible carbon nanocomposites [26,408]. Supercapacitors are very promising energy storage and conversion devices, which have higher specific power than that of lithium-ion batteries. The ideal supercapacitors should have high energy and power density. The surface area and conductivity of electrode materials are the main factors affecting the performance of supercapacitors. 2D materials have great application advantages in this field, such as graphene, TMDs (such as MoS2), LDHs (such as CoAlLDHs), MXenes (such as Ti2C), etc. [409] (see Figure 64a–c). It is even expected to be used in flexible supercapacitors for wearable devices.

15.2.4. Sensors

In addition to their excellent electrical conductivity and high specific surface area, some 2D materials also have sensitivity to external stimuli, making them promising prospects in the field of sensing. Li et al. [410] fabricated single and multilayer MoS2 film-based FETs by mechanical exfoliation for NO gas detection at room temperature. The resistance of the FET channel increases as the amount of NO adsorbed increases, reflecting the amount of NO gas. Li et al. [411] prepared NH3 gas sensors using WS2 nanosheets and improved the selectivity of interfering substances such as methanol, ethanol, and formaldehyde. The electronic sensors realize the detection by the change of the conductivity of the channel material caused by the interaction between the channel material and the object to be detected. The fluorescence sensors use the interaction between the fluorescence probe and the object to cause the amplification or quenching of fluorescence. Graphene and its derivatives, TMDs, BP, MOFs, etc., can be used for electronic sensors and fluorescent sensors (see Figure 65a,b) [412].
Part Four: Metrology Technologies

16. Advanced Characterizations for Ultra-Miniaturized CMOS

With the continuous reduction of the structure of semiconductor devices and the continuous optimization of the process materials, the process materials tend to be smooth and thin with fine structure. In order to produce more efficient and high-performance devices, we need to find more suitable measurement methods to characterize different performance parameters of materials and structures. With the optimization of the device, the capability of measurment equipments is constantly being improved and they are developed in a more convenient, accurate, and comprehensive direction without damage to the devices. Here, we introduce several advanced characterizations for ultra-miniaturized CMOS.

16.1. Future Scanning Electron Microscope (SEM) for Nano Analysis

Traditional SEM is used in process research and development because of fast results and direct way of imaging. The resolution of SEM is improved by increasing the voltage intensity, reducing the size of electron beam spot, and aberration correction. This is a convenient section imaging method, which can achieve the image calibration in 3D standard in a short time. High-throughput scanning electron microscopy increases the scanning speed while maintaining the original best resolution and contrast. The total detection bandwidth of the multi-beam scanning electron microscope is the single beam bandwidth multiplied by the beam number as shown in Figure 66. The increase of the bandwidth can make the primary electron produce the secondary electronic signal more effectively and reduce the damage to the sample caused by the electron beam. A multi-beam scanning electron microscope with 331 electron beams was successful applied. This multi-beam scanning electron microscope method will be able to meet the throughput requirements of future semiconductor applications [413,414].
Critical dimension testing is an important part of the IC front-end process. CD-SEM has the advantages of non-contact condition. It can be used to monitor the critical size and performance in the process of chip manufacturing. In the process of less than 10 nm, the new advanced CD-SEM technology is effectively applied to logic vias, complex overlay, HAR contacts, 3D morphology, etc. [415,416,417]

16.2. Atomic Force Microscope (AFM) for 3D Analysis

Although the traditional AFM can scan the three-dimensional morphology, it is not a true three-dimensional morphology because the probe cannot touch the surface of sidewalls. It is also impossible to measure the spatial size, side wall analysis, and 3D doping analysis. The 3D AFM probe shape is shown in Figure 67. 3D-AFM is used for high-resolution sidewall imaging, overhang profiles, and critical angle measurements. The tilted z-scan XY and z-scan systems overcome the challenges of conventional and flaps in accurate wellbore analysis [418]. Three-dimensional atomic force microscopy (3D-AFM) or critical size atomic force microscopy (CD-AFM) techniques can be used for in-line monitoring and engineering analysis. It overcomes the defects of scanning electron microscopy (SEM) in measuring CD at the bottom of the structure and can test data more accurately than OCD (optical critical dimension). It saves the derivation of optical measurement and enables automatic analysis and efficient production [419].

16.3. 3D Atom Probe Tomography (APT)

In ultra-miniaturized devices, the element composition and atomic scale doping affect the device performance. Common detection equipments are high-resolution transmission electron microscopy (HRTEM) and scanning transmission electron microscopy (STEM), equipped with electron energy loss spectroscopy (EELS) and energy dispersive X-ray spectroscopy (EDX). These devices are two-dimensional imaging and are unable to obtain complex three-dimensional structures at the nanoscale. Secondary ion mass spectrometry (SIMS) is used to characterize the element depth distribution, with poor lateral resolution.
Atomic probe tomography (APT) has become an important tool for the characterization of 3D semiconductor devices. It can not only achieve a high-resolution map of the elements in the space, but also can identify more light elements. The interface of different materials is clearly visible, which can effectively help us understand the size and spatial structure of these devices. Figure 68 shows the schematic diagram of traditional APT [420,421,422].
The complexity of ultra-miniaturized CMOS structure is a challenge for accurate measurement of dopants. The distortion and uncertainty of geometric reconstruction are caused by local amplification and trajectory overlap of mixed materials. Due to the irregular shape of the nanoscale, the signal distortion can be reduced by quantitative sample preparation. In addition, laser-assisted atomic probe tomography can eliminate the heat transients caused by the near-ultraviolet laser. APT also needs to combine more devices to improve test results and analysis algorithms to restore 3D structures more realistically. The technology is still being developed and improved in the semiconductor field.

16.4. Optical Critical Dimension (OCD)

Optical scattering measurement also known as optical critical size measurement is used to maintain the surface topography and can achieve the measurement with high-performance thin films and high-precision nanometer boundaries. It can also be lossless, which is a rapid way for the multilayer structure and internal measurement. The principle is to illuminate the target sample with polarized light and collect the reflection spectrum. Methods of measurement include spectral ellipsometer (SE), miller matrix (MM) SE, and normal incident polarized light reflection, or multi-angle, multi-wavelength OCD. The method of multi-azimuth scattering measurement increases the information of data by collecting and analyzing the spectra of gratings in different directions. It is necessary to obtain 12 or more parameters at the same time to describe the 3D structure of the device.
With the development of ultra-miniaturized CMOS technology, the existing light scattering method is only suitable for the measurement of repetitive dense structures, but not for the measurement of isolated or complex periodic structures. This presents OCD with new challenges, for example, for multi-membrane parameter measurements, 3D measurements, or obtaining random structural parameters in FinFET. The reduction of key dimensions makes the device less sensitive. In addition, the eigenvalue will be disturbed, thereby affecting the refractive index (RI) and extinction coefficient (n). This is improved by adding optical channels for incident angles, azimuths, Mueller matrices, etc., but this increases the difficulty of modeling, which increases the time and cost of early development. It is reported that laser-pumped plasma sources will be used due to its high signal-to-noise ratio and advantages in extending available spectra to the infrared band. Its application can further reduce the uncertainty of some parameters and improve the sensitivity to others, but it cannot completely solve many of the above challenges [423,424,425,426,427].

16.5. Hybrid Metrology

With the development of ultra-miniaturized CMOS technology, the introduction of new materials and the three-dimensional structure of the process results in the diversification of the characterization of the structural characteristics. For example, TEM, SEM, AFM, OCD, APT, CDSAXS, and other test equipment are described from different characteristics. However, these data are relatively homogeneous and may have measurement errors. There is no tool that meets all the metrology requirements of ultra-miniaturized CMOS. Test equipment with high efficiency and high precision are needed for the next generation of transistor manufacturing [428,429,430,431,432].
Hybrid metrology combines different testing tools to eliminate measurement errors and improve the accuracy of test data. We use hybrid metrology to understand the material properties and interactions of complex 3D device structures to avoid structural errors and test limitations. We usually make local measurements on the basis of obtaining a large number of local data model databases. For example, XRD, SIMS, XPS, and TEM are combined to analyze the repeatedly arranged data. For example, atom probe chromatography, TEM, and OCD are combined to construct a nano-scale hybrid metrology solution for three-dimensional structures. The combination of metrology and artificial intelligence and the automation of testing tools can make measurement and data analysis more efficient.

16.6. X-ray Metrology Technologies

With the decrease of technology node and the change of device structure, the influence of film thickness on device performance is very important. Traditional film metrology cannot provide higher resolution. X-rays have more applications. For example, more parameters such as grain size, grain direction, component, strain, etc., of IC transistor channel materials, interconnect materials, workfunction materials, and other new materials are analyzed quantitatively and non-destructively. The technologies are developed through XRR (x-ray reflectivity), XRDI (X-ray diffraction image), XRD (X-ray diffraction), HRXRD (high-resolution X-ray diffraction), LEXES (low-energy electron-induced X-ray emission spectrometry), CD-SAXS, and GISAXS.
XRR ((X-ray reflectivity) is used to measure the thickness, density, surface, and interface roughness of multi-layer opaque films. Due to its non-destructive measurement method, it is currently applied to in-line monitoring. In order to better apply to the in-line detection of advanced characterization for ultra-miniaturized CMOS, the incident angle and focused beam are increased to reduce the measurement area and improve the detection efficiency.
XRD (X-ay diffraction) is a non-destructive analysis method that reveals the analysis of the polycrystalline thin film, and the size of the crystallinity, crystal grain size, residual stress, the preferred orientation of chemical composition, and physical characteristics. Bruker’s latest in-plane stage module can measure the in-plane grain size and crystal direction of 1.5–10 nm HKMG structured ultra-thin films, and these parameters determine the dielectric constant, resistivity, and other film characteristics. The strain of channel material of FinFET and GAA structure directly restricts the material mobility.
HRXRD (high-resolution X-ray diffraction) is used to measure the strain, strain relaxation degree, doping concentration, composition, and thickness parameters of single-crystal thin films. Repeated patterns of tiny structures can also be measured. The latest large spot stage of Bruker company can automatically locate and measure material parameters of tiny structures, such as solid pad, 2D pad, 3D pad, FinFET, GAA, etc. Characterization of III-V fins at sub10 nm has also been confirmed. There is no other testing technique that can do this. Therefore, HRXRD is an important method for the characterization of 10 nm and above nodes [433,434,435,436,437,438,439,440,441,442].
Figure 69 shows HRRLMs around (113) reflection obtained SiGe fins. The maps provide information about the lattice parameters in parallel and perpendicular growth direction as well as fin pitch can be estimated from the spacing between first-order grating rods in the figure. This type of measurement has been also used to analysize III-V fins in sub-10 nm [441].
Critical dimension small-angle X-ray scattering (CD-SAXS) is used to measure the average shape, edge roughness, and line spacing of periodic nanostructures. Grazing incidence small-angle X-ray scattering (GISAXS) is another way for measuring critical dimensions by using grazing incidence small-angle X-ray scattering [443].
The geometry of GISAXS measurements is displayed in Figure 70. GISAXS is suitable for small targets that are sensitive to the grating line profile. GISAXS technique can be used to extract structural parameters of the gratings by considering the photon energy during scanning and the scattering intensity.
Unlike traditional measurements, the CD-SAXS is not affected by changes in the size of the optical properties. It is based on the electron density and, therefore, it has a higher resolution. According to the requirements of three-dimensional structure features, CD-SAXS is better than OCD when the critical size is less than 10 nm. At the same time, CD-SAXS does not need to develop a structural model. CD-SAXS is nondestructive and is a promising technique for characterization of nanodevices [444,445,446].
The XRF/XPS (X-ray fluorescence/X-ray photoelectron spectroscopy) interegrated tool is used to fast determine the composition and chemical composition of material surfaces. It can be used for the identification of semiconductor surface contamination. XRDI (X-ray diffraction image) is used in the detection of wafer-level defects, e.g., slips.

16.7. Artificial Intelligence in Metrology

The newly developed artificial intelligence has been increasingly applied in semiconductor metrology in recent years. A promising technical solution for solving the most difficult metrology challenges is provided by machine learning at 10 nm node and below, which will cooperate with metrology tools instead of completely replacing them. NOVAFitTM is a presentative software developed by NOVA Company. It can be employed as a supplementary method for machine learning technique, which can help to predict the CD values of Fins according to the in-line performance as shown in Figure 71 [447]. Combined with this technique, the electrical resistance in interconnects can be obtained by taking advantage of data from both OCD and electrical tests. Metrology capabilities are enhanced, and the consumption of time is greatly reduced in analyzing complex 3D devices, which has a high aspect ratio by using this software.

17. Conclusions

This article contains four parts with the following outcomes:
In part one, gate-all-around (GAA) FET has been presented as a strong candidate for 3 nm technology due to its high performance and superiority in the control of short channel effects. The structure of both horizontal GAAFET (hGAAFET) and vertical GAAFET (vGAAFET) have been discussed and their performance was compared. The main advantage of vGAAFETs over hGAAFETs is that they have more integration freedom in the vertical direction than hGAAFETs do. The limitations of gate length, spacer width, and source/drain contact area for hGAAFETs can be relaxed for vGAAFETs and then integration density increased. Both bottom-up and top-down fabrication methods for GAAFETs have been presented. For the top-down approach, a multilayer of p-Si/i-SiGe/p-Si can be initially grown where this stack is patterned and vertically etched to form pillar. Later, the SiGe layer is laterally etched to form the channel layer. For mobility, transistor InAs vGAAFETs have been proposed as channel material for nMOS, where for pMOS, Ge or SiGe are supposed to be integrated. Finally, TFET design has been tackled and discussed.
Later, the importance of device simulation for the advanced nano transistor designs has been highlighted. In this chapter, TCAD device models of different complexity, precision, and accuracy are presented. Depending on specific device scales, a quantum-mechanical model or a semi-classical model could be adopted.
In part two, the discussions begin with state-of-the-art lithography of nano-scale patterns using 193 nm immersion with self-aligned double pattern (SADP) and self-aligned quadruple pattern (SAQP) technology for 7 nm technology nodes and behind. EUV lithography is the competing technology that simplifies the patterning process for nano-scale transistors. Meanwhile, EUV still has issues with resists and mask infrastructure as well as power source, which have to be solved before high-volume manufacturing in near future.
The epitaxy of SiGe as stressor material in source/drain regions have been presented. The pattern dependency of the growth over the 8-inch wafer was also discussed. In order to have uniform SiGe epitaxy, a uniform chip layout is needed to minimize the pattern dependency of the growth.
Epitaxy of GAAFETs contains stack of Si/SiGe/Si or Ge/SiGe/Ge where Si, Ge, or SiGe can be the channel of the transistor. Si or SiGe material could be selectively etched by using TMAH solution mixed by ACT® SG-201.
In the nanowire transistors, traditional ion implantation cannot directly be applied, and new doping strategies e.g., monolayer and plasma doping, can be used to dope the GAAFETs.
Apart from doping process, Ge, Si, or SiGe are employed to inhibit the channeling or transient enhanced diffusion (TED) effect in UJS module. Moreover, Ge or As is also proved to be favorable to decrease the contact resistance. Since the structure of CMOS has evolved into three dimensions, Ti silicide has re-acted as standard contact schemes due to its better thermal stability and lower contact resistivity.
Pre-amorphous implantation (PAI) is reported to effectively improve the performance of Ti silicide. It has demonstrated that when a low-energy pre-amorphous Ge implantation is applied on the contact area, the conductivity is improved to an extremely low value of about 1.5 × 10−9Ωcm.
HfO2 has been implemented as high-k material in nanoscale transistors for more than 20 years due to its high dielectric constant and a relatively large bandgap. However, HfO2 suffers from the thermal instability of HfO2/Si interface in nano transistors. A SiOx interlayer between HfO2 and Si substrate is a remedy to the interfacial imperfection. Meanwhile, the thickness of SiOx interlayer and high-k dielectric have been constantly reduced in each technology node.
New metal-gates like TiAlN and TiN have been integrated in a gate-last process to avoid crystallization of the high-k material during the thermal treatments.
ALD W in α-phase is mainly integrated as metal electrode filling for nano transistors. Using B2H6 and WF6 show lower growth rate, lower resistivity, and better gap-filling capability in gate trenches with high aspect ratio and better control of short-channel effects.
Interconnect material in microelectronic circuit also becomes an issue since the CD becomes narrower and filling the BEOL trench-over-via using classical Cu metal has become a challenge. Recently, studies show Co is a better choice for via prefill with no void-free and it performs EM behavior better compared to Cu since it has higher melting point.
Reliability testing of the processed wafers becomes a crucial issue for IC manufacturers and this subject becomes more critical by scaling down CMOS. To check the novel materials and processes, the reliability analysis gives information about physical mechanism of any problem or degradation.
Another important indicator of the defects and integration issue is random telegraph noise measurements for nano-scaled transistors.
In part three of this article, the material technology in the beyond-Moore era has been considered. The high mobility channel material is a hot topic and among many suggested materials, GeSi, Ge, III-V, and 2D crystals are in sight. The main problem with these materials is their integration with high quality on Si. For III-V devices e.g., FinFET on III-V-OI substrates and hybrid InGaAs/SiGe CMOS on silicon substrates with advanced selective epitaxy has been used.
Among 2D materials, graphene, transition-metal dichalcogenides (TMDCs) such as MoS2, WSe2, and WS2 have high potential for high-production devices in future. For 2D materials with almost no bandgap, there are different methods that can be applied such as narrow strips, bilayers, or more to create a bandgap.
Many promising devices from 2D materials have been manufactured. As an example, a dual-channel FET based on a vertically stacked heterostructure of ultrathin n-type MoS2 and p-type WSe2 layers for the study of parallel carrier transport (electrons from MoS2 and holes from WSe2) have been demonstrated.
For example, single-layer MoS2 used as the active channel material with a 30 nm-thick HfO2 gate dielectric has demonstrated carrier mobility of 200 cm2V−1s−1 and demonstrated transistors with current on/off ratio of 108. The mobility does not degrade at high gate voltages, presenting an important advantage over conventional Si transistors where enhanced surface roughness scattering severely reduces carrier mobility values at high gate-fields.
In part four of this article, metrology technologies, which can be used for on-line monitoring and engineering analysis for nano analysis including SEM techniques, 3D atom force microscope techniques, 3D atom probe tomography, X-ray techniques, optical critical dimension, and hybrid metrology together with artificial intelligence in metrology were discussed. In the production line, there is always a need for a pilot test to measure the critical dimensions of the devices, profile layer of thin film, surface and interface quality, electrical, and optical performance. In order to do these tasks in-line, the characterization should be fast but not destructive, with high precision, and high speed. The famous tools are CD SEM, OCD, 3D AFM, and 3D APT, which can be applied for the 3D device structures.
It is also necessary to use a combination of these characterization techniques, which could give information about more complicated device structures.
There also several X-ray techniques such as XRF, XPS, XRR, XRD, HRXRD, LEXES, CD-SAXS, and GISAXS that are used in-line measurements. These techniques give information about crystalline quality, layer profile (thickness and composition), dopant concentration, and strain relaxation in devices.
Artificial intelligent is a new way to work with metrology. Nowadays, a new software has been introduced, which is capable of solving the metrology challenges for 10 nm node technology and beyond. The new software increases capability for metrology for complex 3D devices with high aspect ratio devices.

Author Contributions

H.H.R. and G.W. conceived and designed the manuscript; H.Z. and H.H.R. wrote the topic content on Section 2; Z.W. wrote the “Advanced TCAD for Nanoscale Transistor Development”; X.H. contributed the “Advanced Lithography Process”; G.W. and H.L. wrote the “Epitaxy of Nano-Scaled Transistors”; J.L. (Jinbiao Liu) wrote the “Implantation and Advanced Doping Methods”; J.X. wrote “ALD, HKMG and NC Materials”; Z.K. wrote the “ALD W for Nano-Transistors”; W.X. wrote “SiNx Film and Strain Engineering”; J.L. (Junjie Li) wrote the “Advanced Etching for Nano-Transistor Structures”; H.C. wrote the “WET Cleaning”; J.G. wrote “Metal Materials Interconnect”; H.Y. wrote the “Advanced Devices Reliablity”; B.L., Y.D. (Yong Du) and B.X. wrote the “III-V Materials”; X.Z. wrote “Two-dimensional Materials”; J.Y. and Y.D. (Yan Dong) wrote the “Advanced Characterization for Ultra-Miniaturized CMOS”. The authors have equally contributed in this article. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by the construction of high-level innovation research institute from the Guangdong Greater Bay Area Institute of Integrated Circuit and System (Grant No. 2019B090909006) and the projects of the construction of new research and development institutions (Grant No. 2019B090904015), in part by the National Key Research and Development Program of China (Grant No. 2016YFA0301701), the National Key Project of Science and Technology of China (Grant No. 2017ZX02315001-002), the Academy of Integrated Circuit Innovation(Grant No. Y7YC01X001) and the Youth Innovation Promotion Association of CAS (Grant No. 2016112).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Dennard, R.H.; Gaensslen, F.H.; Yu, H.N.; Rideout, V.; Bassous, E.; LeBlanc, A.R. Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circuits. 1974, 9, 256–267. [Google Scholar] [CrossRef] [Green Version]
  2. International Roadmap for Devices and systems 2017 Edition More Moore. Available online: https://irds.ieee.org/images/files/pdf/2017/2017IRDS_MM.pdf (accessed on 30 December 2018).
  3. Dawn of The Data-Centric Era. Available online: https://semiengineering.com/dawn-of-the-data-centric-era/ (accessed on 30 December 2018).
  4. Radamson, H.H.; Zhang, Y.B.; He, X.B.; Cui, H.S.; Li, J.J.; Xiang, J.J.; Liu, J.B.; Gu, S.H.; Wang, G.L. The Challenges of Advanced CMOS Process from 2D to 3D. Appl. Sci. 2017, 7, 1047. [Google Scholar] [CrossRef]
  5. Lim, S.W. Toward the Surface Preparation of InGaAs for the Future CMOS Integration. Solid State Phenom. 2018, 282, 39–42. [Google Scholar] [CrossRef]
  6. Radamson, H.H.; Thylen, L. Monolithic Nanoscale Photonics Electronics Integration in Silicon and other Group IV Elements; Elsevier Academic Press: Cambridge, MA, USA, 2014; ISBN 978-012-419-975-0. [Google Scholar]
  7. Radamson, H.H.; Simoen, E.; Luo, J.; Zhao, C. Past, Present and Future of CMOS; Elsevier, Ltd.: Amsterdam, The Netherlands, 2018; pp. 95–114. ISBN 978-008-102-139-2. [Google Scholar]
  8. Cheng, K.; Seo, S.; Faltermeier, J.; Lu, D.; Standaert, T.; Ok, I.; Khakifirooz, A.; Vega, R.; Levin, T.; Li, J.; et al. Bottom oxidation through STI (BOTS)—A novel approach to fabricate dielectric isolated FinFETs on bulk substrates. In Proceedings of the 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 9–12 June 2014; pp. 1–2. [Google Scholar]
  9. Zhang, Q.; Yin, H.; Luo, J.; Yang, H.; Meng, L.; Li, Y.; Wu, Z.; Zhang, Y.; Zhang, Y.; Qin, C.; et al. FOI FinFET with ultra-low parasitic resistance enabled by fully metallic source and drain formation on isolated bulk-fin. In Proceedings of the IEDM, San Francisco, CA, USA, 3–7 December 2016; pp. 17.3.1–17.3.4. [Google Scholar]
  10. Ma, X.L.; Yin, H.X.; Hong, P.Z.; Xu, W.J. Self-Aligned Fin-On-Oxide (FOO) FinFETs for Improved SCE Immunity and Multi-V-TH Operation on Si Substrate. ECS Solid State Lett. 2015, 4, Q13–Q16. [Google Scholar] [CrossRef]
  11. Hou, Z.Z.; Zhang, Q.Z.; Yin, H.X.; Xiang, J.J.; Qin, C.L.; Yao, J.X.; Gu, J. Fabrication and Characterization of p-Channel Charge Trapping Type FOI-FinFET Memory with MAHAS Structure. ECS J. Solid State Sci. Technol. 2017, 6, Q136–Q142. [Google Scholar] [CrossRef]
  12. Xu, W.J.; Yin, H.X.; Ma, X.L.; Hong, P.Z.; Xu, M.; Meng, L.K. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate. Nanoscale Res. Lett. 2015, 10, 1–7. [Google Scholar] [CrossRef] [Green Version]
  13. Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; Keersgieter, A.D.; Mannaert, G.; Rosseel, E.; et al. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  14. Lauer, I.; Loubet, N.; Kim, S.D.; Ott, J.A.; Mignot, S.; Venigalla, R.; Yamashita, T.; Standaert, T.; Faltermeier, J.; Basker, V.; et al. Si nanowire CMOS fabricated with minimal deviation from RMG FinFET technology showing record performance. In Proceedings of the 2015 Symposium on VLSI Technology, Kyoto, Japan, 16–18 June 2015; pp. T140–T141. [Google Scholar]
  15. Zhang, Q.Z.; Yin, H.X.; Meng, L.K.; Yao, J.X.; Li, J.J.; Wang, G.L.; Li, Y.D.; Wu, Z.H.; Xiong, W.J.; Yang, H.; et al. Novel GAA Si Nanowire p-MOSFETs With Excellent Short-Channel Effect Immunity via an Advanced Forming Process. IEEE Electron Device Lett. 2018, 39, 464–467. [Google Scholar] [CrossRef]
  16. Huang, X.; Lee, W.; Kuo, C.; Hisamoto, D.; Kedzierski, J.; Anderson, E.; Takeuchi, H.; Choi, Y.; Asano, K.; Subramanian, V.; et al. Sub 50-nm FinFET: PMOS. In Proceedings of the IEDM, Washington, DC, USA, 5–8 December 1999; pp. 67–70. [Google Scholar] [CrossRef]
  17. Auth, C.; Allen, C.E.; Blattner, A.; Bergstrom, D.S.; Brazier, M.; Bost, M.; Buehler, M.; Chikarmane, V.; Ghani, T.; Glassman, T.; et al. A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In Proceedings of the VLSI, Honolulu, HI, USA, 12–15 June 2012; pp. 131–132. [Google Scholar] [CrossRef]
  18. Bae, G.; Bae, D.I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications. In Proceedings of the IEDM, IEEE, San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar] [CrossRef]
  19. Colinge, J.P.; Gao, M.H.; Romano-Rodriguez, A.; Maes, H.; Claeys, C. Silicon-on-insulator ‘gate-all-around device’. In Proceedings of the IEDM, San Francisco, CA, USA, 9–12 December 1990; pp. 595–598. [Google Scholar] [CrossRef]
  20. Dupre, C.; Hubert, A.; Becu, S.; Jublot, M.; Maffini-Alvaro, V.; Vizioz, C.; Aussenac, F.; Arvet, C.; Barnola, S.; Hartmann, J.-M.; et al. 15nm-diameter 3D Stacked Nanowires with optional Independent Gates operation (ϕFET). In Proceedings of the IEDM, San Franscisco, CA, USA, 15–17 December 2008; pp. 1–4. [Google Scholar] [CrossRef]
  21. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In Proceedings of the VLSI, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar] [CrossRef]
  22. Lee, S.Y.; Kim, S.M.; Yoon, E.J.; Oh, C.W.; Chung, I.; Park, D.; Kim, K. A novel multibridge-channel MOSFET (MBCFET): Fabrication technologies and characteristics. IEEE Trans. Nanotechnol. 2003, 2, 253–257. [Google Scholar] [CrossRef]
  23. Takato, H.; Sunouchi, K.; Okabe, N.; Nitayama, A.; Hieda, K.; Horiguchi, F.; Masuoka, F. High performance CMOS surrounding gate transistor (SGT) for ultra high density LSIs. In Proceedings of the IEDM, San Francisco, CA, USA, 11–14 December 1988; pp. 222–225. [Google Scholar] [CrossRef]
  24. Song, Y.; Xu, Q.; Luo, J.; Zhou, H.; Niu, J.; Liang, Q.; Zhao, C. Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate. IEEE Trans. Electron Devices. 2012, 59, 1885–1890. [Google Scholar] [CrossRef]
  25. Capogreco, E.; Witters, L.; Arimura, H.; Sebaai, F.; Porret, C.; Hikavyy, A.; Loo, R.; Milenin, A.P.; Eneman, G.; Favia, P.; et al. First Demonstration of Vertically Stacked Gate-All-Around Highly Strained Germanium Nanowire pFETs. IEEE Trans. Electron Devices 2018, 65, 5145–5150. [Google Scholar] [CrossRef]
  26. Bangsaruntip, S.; Cohen, G.M.; Majumdar, A.; Zhang, Y.; Engelmann, S.U.; Fuller, N.; Gignac, L.M.; Mittal, S.; Newbury, J.S.; Guillorn, M.; et al. High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling. In Proceedings of the IEDM, Baltimore, MD, USA, 7–9 December 2009; pp. 222–225. [Google Scholar] [CrossRef]
  27. Lee, S.Y.; Yoon, E.J.; Kim, S.M.; Oh, C.W.; Li, M.; Choi, J.D.; Yeo, K.H.; Kim, M.S.; Cho, H.J.; Kim, S.H.; et al. A novel sub-50 nm multi-bridge-channel MOSFET (MBCFET) with extremely high performance. In Proceedings of the VLSI, Honolulu, HI, USA, 15–17 June 2004; pp. 200–201. [Google Scholar] [CrossRef]
  28. Barraud, S.; Lapras, V.; Samson, M.; Gaben, L.; Grenouillet, L.; Maffini-Alvaro, V.; Morand, Y.; Daranlot, J.; Rambal, N.; Previtalli, B.; et al. Vertically Stacked-NanoWires MOSFETs in a Replacement Metal Gate Process with Inner Spacer and SiGe Source/Drain. In Proceedings of the IEDM, San Francisco, CA, USA, 3–7 December 2016; pp. 464–467. [Google Scholar] [CrossRef]
  29. Kim, R.; Avci, U.E.; Young, I.A. CMOS Performance Benchmarking of Si, InAs, GaAs, and Ge Nanowire n- and pMOSFETs with Lg=13 nm Based on Atomistic Quantum Transport Simulation Including Strain Effects. In Proceedings of the IEDM, Washington, DC, USA, 7–9 December 2015; pp. 875–878. [Google Scholar] [CrossRef]
  30. Wu, H.; Wu, W.; Si, M.; Ye, P.D. First demonstration of Ge nanowire CMOS circuits: Lowest SS of 64 mV/dec, highest gmax of 1057 μS/μm in Ge nFETs and highest maximum voltage gain of 54 V/V in Ge CMOS inverters. In Proceedings of the IEDM, Washington, DC, USA, 7–9 December 2015; pp. 16–19. [Google Scholar] [CrossRef]
  31. Waldron, N.; Sioncke, S.; Franco, J.; Nyns, L.; Vais, A.; Zhou, X.; Lin, H.C.; Boccardi, G.; Maes, J.W.; Xie, Q.; et al. Gate-all-around InGaAs nanowire FETS with peak transconductance of 2200μS/μm at 50nm Lg using a replacement Fin RMG flow. In Proceedings of the IEDM, Washington, DC, USA, 7–9 December 2015; pp. 799–802. [Google Scholar] [CrossRef]
  32. Oktyabrsky, S.; Tokranov, V.; Yakimov, M.; Moore, R.; Koveshnikov, S.; Tsai, W.; Zhu, F.; Lee, J.C. High-k gate stack on GaAs and InGaAs using in situ passivation with amorphous silicon. Mater. Sci. Eng. B 2006, 135, 272–276. [Google Scholar] [CrossRef]
  33. Kwong, D.L.; Li, X.; Sun, Y.; Ramanathan, G.; Chen, Z.X.; Wong, S.M.; Li, Y.; Shen, N.S.; Buddharaju, K.; Yu, Y.H.; et al. Vertical Silicon Nanowire Platform for Low Power Electronics and Clean Energy Applications. J. Nanotechnol. 2012, 2012, 1–21. [Google Scholar] [CrossRef] [Green Version]
  34. Yakimets, D.; Eneman, G.; Schuddinck, P.; Bao, T.H.; Bardon, M.G.; Raghavan, P.; Veloso, A.; Collaert, N.; Mercha, A.; Verkest, D.; et al. Vertical GAAFETs for the ultimate CMOS scaling. IEEE Trans. Electron Devices 2015, 62, 1433–1439. [Google Scholar] [CrossRef]
  35. Veloso, A.; Altamirano-Sánchez, E.; Brus, S.; Chan, B.T.; Cupak, M.; Dehan, M.; Delvaux, C.; Devriendt, K.; Eneman, G.; Ercken, M.; et al. Vertical Nanowire FET Integration and Device Aspects. ECS Trans. 2016, 72, 31–42. [Google Scholar] [CrossRef]
  36. Huynh-Bao, T.; Ryckaert, J.; Sakhare, S.; Mercha, A.; Verkest, D.; Thean, A.; Wambacq, P. Toward the 5nm technology: Layout optimization and performance benchmark for logic/SRAMs using lateral and vertical GAA FETs. In Proceedings of the SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, San Jose, CA, USA, 21–25 February 2016; Volume 9781. [Google Scholar] [CrossRef]
  37. Doris, B.B. Front end of line integration issues and opportunities beyond 7nm node. In Proceedings of the IEDM, Short Course-1: Emerging CMOS Technology at 5nm and Beyond, Washington, DC, USA, 6 December 2015. [Google Scholar]
  38. Oh, S.H.; Hergenrother, J.M.; Nigam, T.; Monroe, D.; Klemens, F.P.; Kornblit, A.; Mansfield, W.M.; Baker, M.R.; Barr, D.L.; Baumann, F.H.; et al. 50 nm vertical replacement-gate (VRG) PMOSFETs. In Proceedings of the IEDM, San Francisco, CA, USA, 10–13 December 2000; pp. 65–68. [Google Scholar] [CrossRef]
  39. Schmidt, V.; Riel, H.; Senz, S.; Karg, S.; Riess, W.; Gösele, U. Realization of a silicon nanowire vertical surround-gate field-effect transistor. Small 2006, 2, 85–88. [Google Scholar] [CrossRef]
  40. Goldberger, J.; Allon, I. Hochbaum, A.I.; Fan, R.; Yang, P. Silicon vertically integrated nanowire field effect transistors. Nano Lett. 2006, 6, 973–977. [Google Scholar] [CrossRef] [Green Version]
  41. Berg, M.; Persson, K.; Kilpi, O.; Svensson, J.; Lind, E.; Wernersson, L. Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si. In Proceedings of the IEDM, Washington, DC, USA, 7–9 December 2015; pp. 803–806. [Google Scholar] [CrossRef] [Green Version]
  42. Wen, F.; Tutuc, E. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1–x Nanowires. Nano Lett. 2017, 18, 94–100. [Google Scholar] [CrossRef]
  43. Yang, B.; Buddharaju, K.D.; Teo, S.H.G.; Singh, N.; Lo, G.Q.; Kwong, D.L. Vertical silicon-nanowire formation and gate-all-around MOSFET. IEEE Electron Device Lett. 2008, 29, 791–794. [Google Scholar] [CrossRef]
  44. Chen, Z.; Singh, N.; Kwong, D. Vertical Silicon Nanowire MOSFET with A Fully-Silicided (FUSI) NiSi2 Gate. World Acad. Sci. Eng. Technol. Int. J. Electr. Comput. Energ. Electron. Commun. Eng. 2011, 5, 1224–1226. [Google Scholar] [CrossRef]
  45. Ramesh, S.; Ivanov, T.; Putcha, V.; Alian, A.; Sibaja-Hernandez, A.; Rooyackers, R.; Camerotto, E.; Milenin, A.; Pinna, N.; El Kazzi, S.; et al. Record Performance Top-down In0.53Ga0.47As Vertical Nanowire FETs and Vertical Nanosheets. In Proceedings of the IEDM, San Francisco, CA, USA, 4–6 December 2017; pp. 409–412. [Google Scholar] [CrossRef]
  46. Yin, X.; Zhang, Y.; Zhu, H.; Wang, G.L.; Li, J.J.; Du, A.Y.; Li, C.; Zhao, L.H.; Huang, W.X.; Yang, H.; et al. Vertical Sandwich Gate-All-Around Field-Effect Transistors with Self-Aligned High-k Metal Gates and Small Effective Gate-Length Variation. IEEE Electron Device Lett. 2019, 41, 8–11. [Google Scholar] [CrossRef]
  47. Hiruma, K.; Katsuyama, T.; Ogawa, K.; Koguchi, M.; Kakibayashi, H. Quantum size microcrystals grown using organometallic vapor phase epitaxy. Appl. Phys. Lett. 1991, 59, 431–433. [Google Scholar] [CrossRef]
  48. Tomioka, K.; Ikejiri, K.; Tanaka, T.; Motohisa, J.; Hara, S.; Hiruma, K.; Fukui, T. Selective-area growth of III-V nanowires and their applications. J. Mater. Res. 2011, 26, 2127–2141. [Google Scholar] [CrossRef]
  49. Kilpi, O.P.; Wu, J.; Svensson, J.; Lind, E.; Wernersson, L.E. Vertical heterojunction InAs/InGaAs nanowire MOSFETs on Si with Ion = 330 μA/μm at Ioff = 100 nA/μm and VD = 0.5 V. In Proceedings of the VLSI, Kyoto, Japan, 5–8 June 2017; pp. 36–37. [Google Scholar] [CrossRef] [Green Version]
  50. Del Alamo, J.A. Nanometre-scale electronics with III-V compound semiconductors. Nature 2011, 479, 317–323. [Google Scholar] [CrossRef] [PubMed]
  51. Wagner, R.S.; Ellis, W.C. Vapor-Liquid-Solid Mechanism of Single Crystal Growth. Appl. Phys. Lett. 1964, 4, 89–90. [Google Scholar] [CrossRef]
  52. Wu, Y.; Yang, P. Direct Observation of Vapor−Liquid−Solid Nanowire Growth. J. Am. Chem. Soc. 2001, 123, 3165–3166. [Google Scholar] [CrossRef]
  53. Adhikari, H.; Marshall, A.F.; Goldthorpe, I.A.; Chidsey, C.E.D.; McIntyre, P.C. Metastability of Au−Ge Liquid Nanocatalysts: Ge Vapor–Liquid–Solid Nanowire Growth Far below the Bulk Eutectic Temperature. ACS Nano 2007, 1, 415–422. [Google Scholar] [CrossRef]
  54. Mohammad, S.N. Analysis of the Vapor–Liquid–Solid Mechanism for Nanowire Growth and a Model for this Mechanism. Nano Lett. 2008, 8, 1532–1538. [Google Scholar] [CrossRef]
  55. Bhunia, S.; Kawamura, T.; Fujikawa, S.; Nakashima, H.; Furukawa, K.; Torimitsu, K.; Watanabe, Y. Vapor–liquid–solid growth of vertically aligned InP nanowires by meta lorganic vapor phase epitaxy. Thin Solid Films 2004, 464–465, 244–247. [Google Scholar] [CrossRef]
  56. Ryckaert, J. 3D integration for density and functionality. In Proceedings of the IEDM, Short Course-1: Scaling Survival Guide in the More than Moore Era, San Francisco, CA, USA, 2 December 2018. [Google Scholar]
  57. Larrieu, G.; Guerfi, Y.; Han, X.L.; Clément, N. Vertical field effect transistor with sub-15nm gate-all-around on Si nanowire array. In Proceedings of the 45th European Solid State Device Research Conference (ESSDERC), Graz, Austria, 14–18 September 2015; pp. 202–205. [Google Scholar] [CrossRef]
  58. Yin, X.; Zhu, H.; Zhao, L.H.; Wang, G.L.; Li, C.; Huang, W.X.; Zhang, Y.K.; Jia, K.P.; Radamson, H.H. Study of Isotropic and Si-Selective Quasi Atomic Layer Etching of Si1-xGex. ECS J. Solid State Sci. Technol. 2020, 9, 034012. [Google Scholar] [CrossRef]
  59. Song, T. Opportunities and Challenges in Designing and Utilizing Vertical Nanowire FET (V-NWFET) Standard Cells for Beyond 5 nm. IEEE Trans. Nanotechnol. 2019, 18, 240–251. [Google Scholar] [CrossRef]
  60. Maheshwaram, S.; Manhas, S.K.; Kaushal, G.; Anand, B.; Singh, N. Vertical silicon nanowire gate-all-around field effect transistor based nanoscale CMOS. IEEE Electron Device Lett. 2011, 32, 1011–1013. [Google Scholar] [CrossRef]
  61. Seabaugh, A.C.; Zhang, Q. Low-Voltage Tunnel Transistors for Beyond CMOS Logic. Proc. IEEE 2010, 98, 2095–2110. [Google Scholar] [CrossRef]
  62. Hansch, W.; Fink, C.; Schulze, J.; Eisele, I. A vertical MOS-gated Esaki tunneling transistor in silicon. Thin Solid Films 2000, 369, 387–389. [Google Scholar] [CrossRef]
  63. Memisevic, E.; Svensson, J.; Lind, E.; Wernersson, L.E. Vertical Nanowire TFETs With Channel Diameter Down to 10 nm and Point SMIN of 35 mV/Decade. IEEE Electron Device Lett. 2018, 39, 1089–1091. [Google Scholar] [CrossRef] [Green Version]
  64. Moroz, V. Atomic Level Material and Device Analysis for FinFET and Nanowire Design. VLSI Techology Short Course 1.4, 2018 Symposia on VLSI Technology and Circuits. Available online: https://www.researchgate.net/profile/Victor_Moroz2/publication/325953875_Atomic_Level_Material_and_Device_Analysis_for_FinFET_and_Nanowire_Design/links/5b2eccdeaca2720785dfdd4e/Atomic-Level-Material-and-Device-Analysis-for-FinFET-and-Nanowire-Design.pdf (accessed on 26 March 2020).
  65. Vasileska, D.; Goodnick, S.; Klimeck, G. Computational Electronics, 1st ed.; CRC Press: Boca Raton, FL, USA, 2010; ISBN 978-142-006-483-4. [Google Scholar]
  66. Sverdlov, V.; Ungersboeck, E.; Kosina, H.; Selberherr, S. Current transport models for nanoscale semiconductor devices. Mater. Sci. Eng. R. 2008, 58, 228–270. [Google Scholar] [CrossRef] [Green Version]
  67. Maassen, J.; Harb, M.; Michaud-Rioux, V.; Zhu, Y.; Guo, H. Quantum Transport Modeling from First Principles. Proc. IEEE 2013, 101, 518. [Google Scholar] [CrossRef]
  68. Steiger, S.; Povolotskyi, M.; Park, H.; Kubis, T.; Klimeck, G. Nemo5: A parallel multiscale nanoelectronics modeling tool. IEEE Trans. Nanotechnol. 2011, 10, 1464. [Google Scholar] [CrossRef]
  69. Faleev, S.V.; Le´onard, F.; Stewart, D.A.; Van Schilfgaarde, M. Ab initio tight-binding LMTO method for nonequilibrium electron transport in nanosystems. Phys. Rev. B 2005, 71, 195422. [Google Scholar] [CrossRef] [Green Version]
  70. Bruzzone, S.; Iannaccone, G.; Marzari, N.; Fiori, G. An Open-Source Multiscale framework for the Simulation of Nanoscale Devices. IEEE Trans. Electron Devices 2014, 61, 48–53. [Google Scholar] [CrossRef]
  71. Atomistix Toolkit. Available online: http://www.quantumwise.com/ (accessed on 26 March 2020).
  72. NanoAcademic Technologies, Nanodsim. Available online: http://nanoacademic.ca/ (accessed on 26 March 2020).
  73. Stettler, M.; Cea, S.; Hasan, S.; Jiang, L.; Kaushik, A.; Keys, P.; Kotlyar, R.; Landon, C.; Pantuso, D.; Slepko, A.; et al. State-of-the-art TCAD: 25 years ago and today. In Proceedings of the IEDM, San Francisco, CA, USA, 7–11 December 2019; pp. 39.1.1–39.1.4. [Google Scholar] [CrossRef]
  74. Yaegashi, H. Pattern fidelity control in Multi-patterning towards 7 nm node. In Proceedings of the 2016 IEEE 16th International Conference on Nanotechnology (IEEE-NANO), Sendai, Japan, 22–25 August 2016; pp. 452–455. [Google Scholar] [CrossRef]
  75. Van de Kerkhof, M.; Liu, F.; Meeuwissen, M.; Zhang, X.Q.; De Kruif, R.; Davydova, N.; Schiffelers, G.; Wahlisch, F.; Van Setten, E.; Varenkamp, W.; et al. Spectral purity performance of high-power EUV systems. In Proceedings of the SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI, San Jose, CA, USA, 22–27 February 2020; p. 1132321. [Google Scholar] [CrossRef]
  76. Mastenbroek, M. Progress on 0.33 NA EUV systems for High-Volume Manufacturing. In Proceedings of the SPIE 11147, International Conference on Extreme Ultraviolet Lithography, Monterey, CA, USA, 15–19 September 2019; p. 1114703. [Google Scholar] [CrossRef]
  77. Mizoguchi, H.; Nakarai, H. Challenge of >300W high power LPP-EUV source with long collector mirror lifetime for semiconductor HVM. In Proceedings of the SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI, San Jose, CA, USA, 22–27 February 2020; p. 113230X. [Google Scholar] [CrossRef]
  78. Fomenkov, I.V. Laser produced plasma EUV sources for HVM 7nm node lithography: Progress in availability and prospects of power scaling. In Proceedings of the SPIE 10957, Extreme Ultraviolet (EUV) Lithography X, San Jose, CA, USA, 24–28 February 2019; p. 1095719. [Google Scholar] [CrossRef]
  79. Furukawa, T.; Naruoka, T.; Nakagawa, H.; Miyata, H.; Shiratani, M.; Hori, M.; Dei, S.; Ayothi, R.; Hishiro, Y.; Nagai, T. Novel EUV photoresist for sub-7nm node. In Proceedings of the SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, San Jose, CA, USA, 26 February–2 March 2017; p. 101430. [Google Scholar] [CrossRef]
  80. Wang, X.L.; Tseng, L.T.; Allenet, T.; Mochi, I.; Vockenhuber, M.; Yeh, C.; Van Lent-Protasova, L.; Santaclara, J.C.; Custers, R.; Ekinci, Y. Progress in EUV resists status towards high-NA EUV lithography. In Proceedings of the SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI, San Jose, CA, USA, 22–27 February 2020; p. 113230C. [Google Scholar] [CrossRef]
  81. Capelli, R.; Hellweg, D.; Dietzel, M.; Koch, M.; Wolke, C.; Kersteen, G. Aerial image based metrology of EUV masks: Recent achievements, status and outlook for the AIMS (TM) EUV platform. In Proceedings of the SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, San Jose, CA, USA, 25 February–1 March 2018; p. 1058311. [Google Scholar] [CrossRef]
  82. Wojdyla, A.; Benk, M.P.; Naulleau, P.P.; Goldberg, K.A. EUV photolithography mask inspection using Fourier ptychography. In Proceedings of the SPIE 10656, Image Sensing Technologies: Materials, Devices, Systems, and Applications V, Orlando, FL, USA, 15–19 April 2018; p. 106560W. [Google Scholar] [CrossRef]
  83. Levinson, H.J.; Brunner, T.A. Current Challenges and Opportunities for EUV Lithography. In Proceedings of the SPIE 10809, International Conference on Extreme Ultraviolet Lithography, Monterey, CA, USA, 17–20 September 2018; p. 1080903. [Google Scholar] [CrossRef] [Green Version]
  84. Srinivasan, N.; Rook, K.; Turner, P.; Henry, T.; Yamamoto, K.; Donnelly, D.; Van Nguyen, T.; Ip, V.; Lee, H.M.; Kohli, S.; et al. Interfacial quality of high-reflectivity Mo-Si multilayers for EUV mask blanks. In Proceedings of the SPIE 11147, International Conference on Extreme Ultraviolet Lithography, Monterey, CA, USA, 15–19 September 2019; p. 111470N. [Google Scholar] [CrossRef]
  85. Mesilhy, H.; Evanschitzky, P.; Bottiglieri, G.; Van Setten, E.; Fliervoet, T.; Erdmann, A. Path-finding the perfect EUV mask: The role of the multilayer. In Proceedings of the SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI, San Jose, CA, USA, 22–27 February 2020; p. 1132316. [Google Scholar] [CrossRef]
  86. Lercel, M.; Smeets, C.; Van der Kerkhof, M.; Chen, A.; Van Empel, T.; Banine, V. EUV reticle defectivity protection options. In Proceedings of the SPIE 11148, Photomask Technology, Monterey, CA, USA, 15–19 September 2019; p. 111480Y. [Google Scholar] [CrossRef]
  87. Miyazaki, J.; Yen, A. EUV Lithography Technology for High-volume Production of Semiconductor Devices. J. Photopolym. Sci. Technol. 2019, 32, 195–201. [Google Scholar] [CrossRef] [Green Version]
  88. Imec demonstrates 24 nm pitch lines with single exposure EUV lithography on ASML‘s NXE: 3400 B scanner. Available online: https://www.imec-int.com/en/articles/imec-demonstrates-24nm-pitch-lines-with-single-exposure-euv-lithography-on-asml-s-nxe-3400b-scanner (accessed on 26 March 2020).
  89. Ghani, T.; Armstrong, M.; Auth, C.; Bost, M.; Charvat, P.; Glass, G.; Hoffmann, T.; Johnson, K.; Kenyon, C.; Klaus, J.; et al. A 90 nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. In Proceedings of the IEDM, Washington, DC, USA, 8–10 December 2003; pp. 11.16.11–11.16.13. [Google Scholar] [CrossRef]
  90. Thompson, S.; Sun, G.; Wu, K.; Lim, J.; Nishida, T. Key differences for process-induced uniaxialvs substrate-induced biaxial stressed Si and Ge channel MOSFETs. In Proceedings of the IEDM, IEDM Technical Digest, San Francisco, CA, USA, 13–15 December 2004; pp. 221–224. [Google Scholar] [CrossRef]
  91. Jan, C.H.; Bai, P.; Biswas, S.; Buehler, M.; Chen, Z.P.; Curello, G.; Gnnavaram, S.; Hafez, W.M.; He, J.; Hicks, J.; et al. A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors. In Proceedings of the IEDM, San Francisco, CA, USA, 15–17 December 2008; pp. 1–4. [Google Scholar] [CrossRef]
  92. Wang, G.L.; Kolahdouz, M.; Luo, J.; Qin, C.L.; Gu, S.H.; Kong, Z.Z.; Yin, X.G.; Xiong, W.J.; Zhao, X.; Liu, J.B.; et al. Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS). J. Mater. Sci. Mater. Electron. 2020, 31, 26–33. [Google Scholar] [CrossRef]
  93. Qin, C.L.; Yin, H.X.; Wang, G.L.; Hong, P.Z.; Ma, X.L.; Cui, H.S.; Lu, Y.H.; Meng, L.K.; Yin, H.Z.; Zhong, H.C.; et al. Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs. Microelectron. Eng. 2017, 181, 22–28. [Google Scholar] [CrossRef]
  94. Wang, G.L.; Moeen, M.; Abedin, A.; Xu, Y.F.; Luo, J.; Guo, Y.L.; Qin, C.L.; Tang, Z.Y.; Yin, H.Z.; Li, J.F.; et al. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. Solid State Electron. 2015, 114, 43–48. [Google Scholar] [CrossRef]
  95. Wang, G.L.; Luo, J.; Qin, C.L.; Liang, R.R.; Xu, Y.F.; Liu, J.B.; Li, J.F.; Yin, H.X.; Yan, J.; Zhu, H.L.; et al. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. Nanoscale Res. Lett. 2017, 12, 123. [Google Scholar] [CrossRef] [Green Version]
  96. Vescan, L.; Grimm, K.; Dieker, C. Facet investigation in selective epitaxial growth of Si and SiGe on (001) Si for optoelectronic devices. J. Vac. Sci. Technol. B 1998, 16, 1549–1554. [Google Scholar] [CrossRef]
  97. Dutartre, D.; Talbot, A. Facet propagation in Si and SiGe epitaxy or etching. ECS Trans. 2006, 3, 473–487. [Google Scholar] [CrossRef]
  98. Menon, C.; Lindgren, A.C.; Persson, P.; Hultman, L.; Radamson, H.H. Selective Epitaxy of Si1−xGex Layers for Complementary Metal Oxide Semiconductor Applications. J. Electrochem. Soc. 2003, 150, G253–G257. [Google Scholar] [CrossRef]
  99. Mujumdar, S.; Maitra, K.; Datta, S. Layout-Dependent Strain Optimization for p-Channel Trigate Transistors. IEEE Trans. Electron Devices 2012, 59, 72–78. [Google Scholar] [CrossRef]
  100. Hallstedt, J.; Kolahdouz, M.; Ghandi, R.; Radamson, H.H. Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors. J. Appl. Phys. 2008, 103, 054907. [Google Scholar] [CrossRef]
  101. Radamson, H.H.; Kolahdouz, M. Selective epitaxy growth of Si1-xGex layers for MOSFETs and FinFET. J. Mater. Sci. Mater. Electron. 2015, 26, 4584–4603. [Google Scholar] [CrossRef]
  102. Qin, C.L.; Wang, G.L.; Kolahdouz, M.; Luo, J.; Yin, H.X.; Yang, P.; Li, J.F.; Zhu, H.L.; Chao, Z.; Ye, T.C.; et al. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14nm node FinFETs. Solid-State Electron. 2016, 124, 10–15. [Google Scholar] [CrossRef]
  103. Wang, G.L. Pattern Dependency of SiGe Layers Selective Epitaxy Growth. In Investigation on SiGe Selective Epitaxy for Source and Drain Engineering in 22 nm CMOS Technology Node and Beyond; Springer Theses; Springer: Singapore, 2019; pp. 93–111. [Google Scholar] [CrossRef]
  104. Wang, G.L.; Qin, C.L.; Yin, H.X.; Luo, J.; Duan, N.Y.; Yang, P.; Gao, X.Y.; Yang, T.; Li, J.F.; Yan, J.; et al. Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology. Microelectron. Eng. 2016, 163, 49–54. [Google Scholar] [CrossRef]
  105. Wang, G.L. Strained Silicon Technology. In Investigation on SiGe Selective Epitaxy for Source and Drain Engineering in 22 nm CMOS Technology Node and Beyond; Springer Theses; Springer: Singapore, 2019; pp. 9–21. [Google Scholar] [CrossRef]
  106. Guo, D.; Karve, G.; Tsutsui, G.; Lim, K.Y.; Robison, R.; Hook, T.; Vega, R.; Liu, D.; Bedell, S.; Mochizuki, S.; et al. FINFET technology featuring high mobility SiGe channel for 10nm and beyond. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar] [CrossRef]
  107. Mitard, J.; Witters, L.; Sasaki, Y.; Arimura, H.; Schulze, A.; Loo, R.; Ragnarsson, L.A.; Hikavyy, A.; Cott, D.; Chiarella, T.; et al. A 2nd Generation of 14/16nm-node compatible strained-Ge pFINFET with improved performance with respect to advanced Si-channel FinFETs. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar] [CrossRef]
  108. Gupta, S.; Vincent, B.; Lin, D.H.C.; Gunji, M.; Firrincieli, A.; Gencarelli, F.; Magyari-Köpe, B.; Yang, B.; Douhard, B.; Delmotteet, J.; et al. GeSn channel nMOSFETs: Material potential and technological outlook. In Proceedings of the 2012 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 12–14 June 2012; pp. 1–2. [Google Scholar] [CrossRef]
  109. Wang, G.L.; Luo, J.; Qin, C.L.; Cui, H.S.; Liu, J.B.; Jia, K.P.; Li, J.; Yang, T.; Yin, H.X.; Zhao, C.; et al. Integration of Selective Epitaxial Growth of SiGe/Ge Layers in 14nm Node FinFETs. ECS Trans. 2016, 75, 273–279. [Google Scholar] [CrossRef]
  110. Loo, R.; Hikavyy, A.Y.; Witters, L.; Schulze, A.; Arimura, H.; Cott, D.; Mitard, J.; Porret, C.; Mertens, H.; Ryan, P.; et al. Processing Technologies for Advanced Ge Devices. ECS J. Solid State Sci. Technol. 2016, 6, P14–P20. [Google Scholar] [CrossRef]
  111. Xiao, H. 3D IC Devices, Technologies, and Manufacturing; SPIE eBooks: Bellingham, WA, USA, 2016; p. P2. ISBN 978-151-060-146-8. [Google Scholar] [CrossRef]
  112. Barraud, S.; Previtali, B.; Lapras, V.; Coquand, R.; Vizioz, C.; Hartmann, J.; Cassé, M. Top-down fabrication and electrical characterization of Si and SiGe nanowires for advanced CMOS technologies. Semicond. Sci. Technol. 2019, 34, 074001. [Google Scholar] [CrossRef]
  113. Li, J.; Li, Y.; Zhou, N.; Wang, G.; Zhang, Q.; Du, A.; Zhang, Y.; Gao, J.; Kong, Z.; Lin, H.; et al. A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm. Materials 2020, 13, 771. [Google Scholar] [CrossRef] [Green Version]
  114. Kumari, A.; Kumar, S.; Sharma, T.K.; Das, M.K. On the C-V characteristics of nanoscale strained gate-all-around Si/SiGe MOSFETs. Solid State Electron. 2019, 154, 36–42. [Google Scholar] [CrossRef]
  115. Baraissov, Z.; Pacco, A.; Koneti, S.; Bisht, G.; Panciera, F.; Holsteyns, F.; Mirsaidov, U. Selective Wet Etching of Silicon Germanium in Composite Vertical Nanowires. ACS Appl. Mater. Interfaces 2019, 11, 36839–36846. [Google Scholar] [CrossRef] [Green Version]
  116. Zhang, L.; Liu, Z.; Chen, S.; Wang, Y.; Long, W.; Guo, Y.; Wang, S.; Ye, G.; Liu, W. Materials, processing and reliability of low temperature bonding in 3D chip stacking. J. Alloys Compd. 2018, 750, 980–995. [Google Scholar] [CrossRef]
  117. Ray, S.K.; Katiyar, A.K.; Raychaudhuri, A.K. One-dimensional Si/Ge nanowires and their heterostructures for multifunctional applications-a review. Nanotechnology 2017, 28, 92001. [Google Scholar] [CrossRef]
  118. Min, K.S.; Park, C.; Kang, C.Y.; Park, C.S.; Park, B.J.; Kim, Y.W.; Lee, B.H.; Lee, J.C.; Bersuker, G.; Kirsch, P.; et al. Improvement of metal gate/high-k dielectric cmosfets characteristics by atomic layer etching of high-k gate dielectric. Solid State Electron. 2013, 82, 82–85. [Google Scholar] [CrossRef]
  119. Qin, S.; Hu, Y.J.; McTeer, A. PLAD (Plasma Doping) on 22nm Technology Node and Beyond Evolutionary and/or Revolutionary. In Proceedings of the International Workshop on Junction Technology, Shanghai, China, 14–15 May 2012; pp. 1–11. [Google Scholar] [CrossRef]
  120. Lee, J.W.; Sasaki, Y.; Cho, M.J.; Togo, M.; Boccardi, G.; Ritzenthaler, R.; Eneman, G.; Chiarella, T.; Brus, S.; Horiguchi, N.; et al. Plasma doping and reduced crystalline damage for conformally doped fin field effect transistors. Appl. Phys. Lett. 2013, 102, 223508. [Google Scholar] [CrossRef]
  121. Li, H.; Raj, D.; Hu, Y.J.; McTeer, A.; Srivastava, A.; Maynard, H. Characterization of hot N-type plasma doping (PLAD) implantation. In Proceedings of the 17th International Workshop on Junction Technology (IWJT), Uji, Japan, 1–2 June 2017; IEEE: Piscataway Township, NJ, USA, 2017. [Google Scholar] [CrossRef]
  122. Raj, D.; Persing, H.; Salimian, S.; Lacey, K.; Qin, S.; Hu, J.Y.; McTeer, A. Plasma Process Optimization for N-type Doping Applications. AIP Conf. Proc. 2012, 1496, 67–70. [Google Scholar] [CrossRef]
  123. Cho, W.J.; Im, K.; Ahn, C.G.; Yang, J.H.; Oh, J.; Baek, I.B.O.; Lee, S. Plasma doping technology for fabrication of nanoscale metal-oxide-semiconductor devices. J. Vac. Sci. Technol. B 2004, 22, 3210–3213. [Google Scholar] [CrossRef]
  124. Wang, C.Y.; Tang, S.; Han, K.P.; Persing, H.; Maynard, H.; Salimian, S. A plasma doping process for 3D finFET source/drain extensions. In Proceedings of the 20th International Conference on Ion Implantation Technology (IIT 2014), Portland, OR, USA, 26 June–4 July 2014. [Google Scholar]
  125. Cho, W. Fabrication of p-type FinFETs with a 20 nm Gate Length using Boron Solid Phase Diffusion Process. J. Semicond. Technol. Sci. 2006, 6, 16–21. [Google Scholar]
  126. Han, K.; Tang, S.; Rockwell, T.; Godet, L.; Persing, H.; Campbell, C.; Salimian, S. In A novel plasma-based technique for conformal 3D FINFET doping. In Proceedings of the 2012 12th International Workshop on Junction Technology, Shanghai, China, 14–15 May 2012; IEEE: Piscataway Township, NJ, USA, 2012; pp. 35–37. [Google Scholar]
  127. Cho, W.J.; Koo, H.M.; Lee, W.H.; Koo, S.M.; Chung, H. Fabrication of SOI FinFET Devices using Arsenic Solid-phase-diffusion. J. Korean Inst. Electr. Electron. Mater. Eng. 2007, 20, 394–398. [Google Scholar] [CrossRef] [Green Version]
  128. Sasaki, Y.; Ritzenthaler, R.; Kimura, Y.; De Roest, D.; Shi, X.; De Keersgieter, A.; Kim, M.S.; Chew, S.A.; Kubicek, S.; Schram, T.; et al. Novel junction design for NMOS Si Bulk-FinFETs with extension doping by PEALD phosphorus doped silicate glass. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015. [Google Scholar]
  129. Long, B.; Verni, G.A.; Connell, J.O.; Shayesteh, M.; Gangnaik, A.; Georgiev, Y.M.; Carolana, D.P. Molecular Layer Doping: Non-destructive doping of silicon and germanium. Mater. Sci. Semicond. Process. 2017, 62, 196–200. [Google Scholar] [CrossRef]
  130. Ye, L.; Pujari, S.P.; Zuilhof, H.; Kudernac, T.; de Jong, M.P.; van der Wiel, W.G.; Huskens, J. Controlling the Dopant Dose in Silicon by Mixed-Monolayer Doping. ACS Appl. Mater. Interfaces 2014, 7, 3231–3236. [Google Scholar] [CrossRef]
  131. Guan, B.; Siampour, H.; Fan, Z.; Wang, S.; Kong, X.Y.; Mesli, A.; Zhang, J.; Dan, Y.P. Nanoscale Nitrogen Doping in Silicon by Self-Assembled Monolayers. Sci. Rep. 2015, 5, 12641. [Google Scholar] [CrossRef]
  132. Ho, J.C.; Yerushalmi, R.; Smith, G.; Majhi, P.; Bennett, J.; Halim, J.; Faifer, V.N.; Javey, A. Wafer-scale, sub-5 nm junction formation by monolayer doping and conventional spike annealing. Nano Lett. 2009, 9, 725–730. [Google Scholar] [CrossRef] [Green Version]
  133. Santos, I.; Marqués, L.A.; López, P.; Pelaz, P.; Aboy, M. Molecular Implants and Cold Implants: Two New Strategies for Junction Formation of Future Si Devices. In Proceedings of the 8th Spanish Conference on Electron Devices, CDE’2011, Palma de Mallorca, Spain, 8–11 February 2011; IEEE: Piscataway Township, NJ, USA, 2011; pp. 1–4. [Google Scholar] [CrossRef]
  134. Heiermann, W.; .Buca, D.; Trinkaus, H.; Hollander, B.; Breuer, U.; Kernevez, N.; Ghyselen, B.; Mantl, S. High Temperature Ion Implantation: A Solution for n-Type Junctions in Strained Silicon. ECS Trans. 2009, 19, 95–103. [Google Scholar] [CrossRef]
  135. Lequn Jennifer, L.; Hsu, W.H.; Brumfield, K.; Padmanabhan, R.; Morinville, W.; Qin, S.; Hu, Y.J.; McTeer, A. Effects of implant temperature on process characteristics of low energy boron implanted silicon. AIP Conf. Proc. 2012, 1496, 91–94. [Google Scholar] [CrossRef]
  136. Khaja, F.A.; Colombeau, B.; Thanigaivelan, T.; Ramappa, D.; Henry, T. Physical understanding of cryogenic implant benefits for electrical junction stability. Appl. Phys. Lett. 2012, 100, 112102. [Google Scholar] [CrossRef]
  137. Wood, B.; Khaja, F.; Colombeau, B.; Sun, S.; Waite, A.; Jin, M.; Chen, H.; Chan, O.; Thanigaivelan, T.; Pradhan, N.; et al. Fin Doping by Hot Implant for 14nm FinFET Technology and Beyond. ECS Trans. 2013, 58, 249–256. [Google Scholar] [CrossRef]
  138. Albayati, A.; Tandon, S.; Mayur, A.; Foad, M.; Wagner, D.; Murto, R.; Sing, D.; Ferguson, C.; Larson, L. Exploring the limits of pre-amorphization implants on controlling channeling and diffusion of low energy B implants and ultra shallow junction formation. In Proceedings of the 13th International Conference on Ion Implantation Technology (IIT 2000), Alpbach, Austria, 17–22 September 2000; pp. 54–57. [Google Scholar]
  139. Vanderpool, A.; Taylor, M. Reducing ultra-shallow boron diffusion using carbon and fluorine co-implantation. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. Atoms. 2005, 237, 142–147. [Google Scholar] [CrossRef]
  140. Mao, S.; Zhao, C.; Liu, J.B.; Wang, G.L.; Li, M.H.; Liu, Y.D.; Luo, X.; Zhan, D.; Xu, J.; Wang, W.W.; et al. Specific Contact Resistivity Improvement by As Preamorphization Implantation for Ti-Based Ohmic Contacts on n+;-Si. IEEE Trans. Electron Devices 2020, 67, 1726–1729. [Google Scholar] [CrossRef]
  141. Mao, S.; Luo, J. Titanium-based ohmic contacts in advanced CMOS technology. J. Phys. D Appl. Phys. 2019, 52, 50. [Google Scholar] [CrossRef]
  142. Yu, H.; Schaekers, M.; Rosseel, E.; Peter, A.; Lee, J.G.; Song, W.B.; Demuynck, S.; Chiarella, T.; Ragnarsson, L.A.; Kubicek, S.; et al. 1.5×109 Ωcm2 Contact resistivity on highly doped Si:P using Ge pre-amorphization and Tisilicidation. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015. [Google Scholar]
  143. Mistry, K.; Chau, R.; Choi, C.-H.; Ding, G.; Fischer, K.; Ghani, T.; Grover, R.; Han, W.; Hanken, D.; Hattendorf, M.; et al. A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pbfree Packaging. In Proceedings of the IEDM 2007, IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 247–250. [Google Scholar]
  144. Packan, P.; Akbar, S.; Armstrong, M.; Bergstrom, D.; Brazier, M.; Deshpande, H.; Dev, K.; Ding, G.; Ghani, T.; Golonzka, O.; et al. High Performance 32nm Logic Technology Featuring 2 nd Generation High-k+ Metal Gate Transistors. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, USA, 7–9 December 2009; pp. 1–4. [Google Scholar]
  145. Puurunen, R.L. Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 2005, 97, 121301. [Google Scholar] [CrossRef]
  146. Lujan, G.S.; Schram, T.; Pantisano, L.; Hooker, J.C.; Kubicek, S.; Rohr, E.; Schuhmacher, J.; Kilpelä, O.; Sprey, H.; De Gendt, S. Impact of ALCVD and PVD Titanium Nitride Deposition on Metal Gate Capacitors. In Proceedings of the 32nd European Solid-State Device Research Conference, Firenze, Italy, 24–26 September 2002; pp. 583–586. [Google Scholar]
  147. Jeon, S.; Park, S. Fabrication of Robust Triple- Ti1−xAlxN Metal Gate by Atomic Layer Deposition. J. Electrochem. Soc. 2010, 157, H1101–H1105. [Google Scholar] [CrossRef]
  148. Jeon, S.; Park, S. Tunable Work-Function Engineering of TiC–TiN Compound by Atomic Layer Deposition for Metal Gate Applications. J. Electrochem. Soc. 2010, 157, H930–H933. [Google Scholar] [CrossRef]
  149. Cho, G.; Rhee, S.-W. Plasma Enhanced Atomic Layer Deposition of TiCxNyFilm with Various Reactive Gases. ECS J. Solid State Sci. Technol. 2014, 3, P185–P191. [Google Scholar] [CrossRef] [Green Version]
  150. Triyoso, D.H.; Gregory, R.; Schaeffer, J.K.; Werho, D.; Li, D.; Marcus, S. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics. J. Appl. Phys. 2007, 102, 104509. [Google Scholar] [CrossRef]
  151. Kim, C.-K.; Ahn, H.J.; Moon, J.M.; Lee, S.; Moon, D.; Park, J.S.; Cho, B.-J.; Choi, Y.-K.; Lee, S.-H. Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition. Solid State Electron. 2015, 114, 90–93. [Google Scholar] [CrossRef]
  152. Cho, G.-H.; Rhee, S.-W. Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane/Hydrogen Gas. Electrochem. Solid State Lett. 2010, 13, H426–H427. [Google Scholar] [CrossRef]
  153. Zonensain, O.; Fadida, S.; Fisher, I.; Gao, J.; Chattopadhyay, K.; Harm, G.; Mountsier, T.; Danek, M.; Eizenberg, M. Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices. Appl. Phys. Lett. 2015, 106, 082107. [Google Scholar] [CrossRef]
  154. Xiang, J.; Li, T.; Zhang, Y.; Wang, X.; Gao, J.; Cui, H.; Yin, H.X.; Li, J.F.; Wang, W.W.; Ding, Y.Q.; et al. Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET. ECS J. Solid State Sci. Technol. 2015, 4, P441–P444. [Google Scholar] [CrossRef]
  155. Xiang, J.; Ding, Y.; Du, L.; Xu, C.; Li, T.; Wang, X.; Li, J.; Zhao, C. Investigation of N Type Metal TiAlC by Thermal Atomic Layer Deposition Using TiCl4 and TEA as Precursors. ECS J. Solid State Sci. Technol. 2016, 5, P299–P303. [Google Scholar] [CrossRef]
  156. Xiang, J.; Li, T.; Wang, X.; Du, L.; Ding, Y.; Wang, W.; Li, J.; Zhao, C. Thermal Atomic Layer Deposition of TaAlC with TaCl5 and TMA as Precursors. ECS J. Solid State Sci. Technol. 2016, 5, 633–636. [Google Scholar] [CrossRef]
  157. Xiang, J.; Wang, X.; Li, T.; Gao, J.; Han, K.; Yu, J.; Wang, W.; Li, J.; Zhao, C. Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO2 Dielectric Using TaCl5 and TEA as Precursors. ECS J. Solid State Sci. Technol. 2017, 6, P38–P41. [Google Scholar] [CrossRef]
  158. Ahn, H.J.; Moon, J.; Koh, S.; Seo, Y.; Kim, C.; Rho, I.C.; Kim, C.H.; Hwang, W.S.; Cho, B.J. Very Low-Work-Function ALD-Erbium Carbide (ErC2) Metal Electrode on High-K Dielectrics. IEEE Trans. Electron Devices 2016, 63, 2858–2863. [Google Scholar] [CrossRef]
  159. Salahuddin, S.; Datta, S. Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices. Nano Lett. 2008, 8, 405–410. [Google Scholar] [CrossRef] [PubMed]
  160. Luc, Q.H.; Fan-Chiang, C.C.; Huynh, S.H.; Huang, P.; Do, H.B.; Ha, T.H. First Experimental Demonstration of Negative Capacitance InGaAs MOSFETs with Hf0.5Zr0.5O2 Ferroelectric Gate Stack. In Proceedings of the IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 47–48. [Google Scholar]
  161. Böscke, T.S.; Müller, J.; Bräuhaus, D.; Schröder, U.; Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 2011, 99, 102903. [Google Scholar]
  162. Chen, W.; Xiao, G.; Zhang, Q.; Zhang, X. Temperature study of the giant spin Hall effect in the bulk limit of beta-W. Phys. Rev. B 2018, 98. [Google Scholar] [CrossRef] [Green Version]
  163. Park, H.; Lee, S.; Kim, H.J.; Yoon, E.; Lee, G.-D. Dissociation reaction of B 2 H 6 on TiN surfaces during atomic layer deposition: First-principles study. RSC Adv. 2017, 7, 55750–55755. [Google Scholar] [CrossRef] [Green Version]
  164. Wang, G.; Xu, Q.; Yang, T.; Xiang, J.; Xu, J.; Gao, J.; Li, C.; Li, J.; Yan, J.; Chen, D.; et al. Application of atomic layer deposition tungsten (ALD W) as gate filling metal for 22 nm and beyond nodes CMOS technology. ECS J. Solid State Sci. Technol. 2014, 3, 82–85. [Google Scholar] [CrossRef]
  165. Xu, Q.; Luo, J.; Wang, G.; Yang, T.; Li, J.F.; Ye, T.C.; Chen, D.P.; Zhao, C. Application of ALD W films as gate filling metal in 22 nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. Microelectron. Eng. 2015, 137, 43–46. [Google Scholar] [CrossRef]
  166. Wang, G.L.; Luo, J.; Liu, J.; Yang, T.; Xu, Y.F.; Li, J.; Yin, H.; Yan, J.; Zhu, H.L.; Zhao, C.; et al. pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. Nanoscale Res. Lett. 2017, 12, 306. [Google Scholar] [CrossRef]
  167. Kalanyan, B.; Lemaire, P.C.; Atanasov, S.E.; Ritz, M.J.; Parsons, G.N. Using Hydrogen To Expand the Inherent Substrate Selectivity Window During Tungsten Atomic Layer Deposition. Chem. Mater. 2015, 28, 117–126. [Google Scholar] [CrossRef]
  168. Saito, S.; Gardes, F.Y.; Al-Attili, A.Z. Group IV light sources to enable the convergence of photonics and electronics. Front. Mater. 2014, 1, 15. [Google Scholar] [CrossRef] [Green Version]
  169. Wang, J. Strained Silicon—A Technology to Extend Moore’s Law. Microelectronics 2008, 38, 50–56. [Google Scholar]
  170. Orain, S.; Fiori, V.; Villanueva, D.; Dray, A.; Ortolland, C. Method for Managing the Stress Due to the Strained Nitride Capping Layer in MOS Transistors. In IEEE Transactions on Electron Devices; IEEE: Piscataway Township, NJ, USA, 2007; Volume 54, pp. 814–821. [Google Scholar]
  171. Kevin, L.; AvikDutt Carl, B.P.; Michal, L. Overcoming Si3N4 film stress limitations for high quality factor ring resonators. Opt. Soc. Am. 2013, 21, 22829–22833. [Google Scholar] [CrossRef] [Green Version]
  172. Varadarajan, B.; Sims, J.; Singhal, A.; Christensen, M.; Jiang, G.; Ilcisir, K.; Shrinivasan, K.; Ayoub, M.; Dharmadhikari, V. The development of high stress silicon nitride film used in strain silicon. Integr. Circuit Appl. 2006, 2, 36–39. [Google Scholar]
  173. Wang, J.H. The application and forecast of high stress silicon nitride films. Integr. Circuit Appl. 2008, 4, 63–64. [Google Scholar]
  174. Loboda, M.J. New solutions for intermetal dielectrics using trimethylsilane-based PECVD processes. Microelectron. Eng. 2000, 50, 15–23. [Google Scholar] [CrossRef]
  175. Absil, P.P.; Verheyen, P.; De Heyn, P.; Pantouvaki, M.; Lepage, G.; De Coster, J.; Van Campenhout, J. Silicon photonics integrated circuits: A manufacturing platform for high density, low power optical I/Os. Opt. Express 2015, 23, 9369–9378. [Google Scholar] [CrossRef] [PubMed]
  176. Smit, M.; Williams, K. Progress in InP-based photonic integration. In Frontiers in Optics 2015; OSA: Washington, DC, USA, 2015; Paper FW5B.4. [Google Scholar]
  177. Nagarajan, R.; Kato, M.; Pleumeekers, J.; Evans, P.; Corzine, S.; Hurrt, S.; Dentai, A.; Murthy, S.; Missey, M.; Muthiah, R.; et al. InP photonic integrated circuits. IEEE J. Sel. Top. Quantum Electron. 2010, 16, 1113–1125. [Google Scholar] [CrossRef]
  178. Soref, R. Mid-infrared photonics in silicon and germanium. Nat. Photonics 2010, 4, 495. [Google Scholar] [CrossRef]
  179. Soref, R.; Kouvetakis, J.; Menendez, J. Advances in SiGeSn/Ge technology. Mater. Res. Soc. Symp. Proc. 2006, 958, 13. [Google Scholar] [CrossRef]
  180. De Kersauson, M.; Jakomin, R.; El Kurdi, M.; Beaudoin, G. Direct and indirect band gap room temperature electroluminescence of Ge diodes. J. Appl. Phys. 2010, 108, 023105. [Google Scholar] [CrossRef] [Green Version]
  181. Tani, K.; Oda, K.; Okumura, T.; Takezaki, T.; Kasai, J.; Mine, T.; Ido, T. Enhanced electroluminescence from germanium waveguides by local tensile strain with silicon nitride stressors. In Proceedings of the 2013 International Conference on Solid State Devices and Materials, Fukuoka, Japan, 24–27 September 2013; pp. 1032–1033. [Google Scholar] [CrossRef] [Green Version]
  182. Capellini, G.; Kozlowski, G.; Yamamoto, Y.; Lisker, M.; Wenger, C.; Niu, G.; Zaumseil, P.; Tillack, B.; Ghrib, A.; De Kersauson, M.; et al. Strain analysis in SiN/Ge microstructures obtained via Si-complementary metal oxide semiconductor compatible approach. J. Appl. Phys. 2013, 113, 013513. [Google Scholar] [CrossRef]
  183. Ghrib, A.; Kurdi, M.E.; Prost, M.; Sauvage, S.; Checoury, X.; Beaudoin, G.I.; Boucaud, P. Tensile strained germanium microdisks. Appl. Phys. Lett. 2013, 102, 221112. [Google Scholar] [CrossRef] [Green Version]
  184. Ghib, A.; El Kurdi, M.; Prost, M.; Sauvage, S.; Checoury, X.; Beaudoin, G.; Chaigneau, M.; Ossikovski, R.; Sagnes, I.; Boucaud, P. All-Around SiN Stressor for High and Homogeneous Tensile Strain in Germanium Microdisk Cavities. Adv. Opt. Mater. 2015, 3, 353–358. [Google Scholar] [CrossRef]
  185. Hoyt, J.L.; Nayfeh, H.M.; Eguchi, S.; Aberg, I.; Xia, G.; Drake, T.; Fitzgerald, E.A.; Antoniadis, D.A. Strained-silicon MOSFET technolony. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 8–11 December 2002; pp. 23–26. [Google Scholar]
  186. Lee, C.C.; Liu, C.H.; Chen, Z.H.; Tzeng, T.L. A resultant stress effect of contact etching stop layer and geometrical designs of poly gate on nano scaled nMOSFETs with a Si1−xGex channel. J. Nanosci. Nanotechnol. 2015, 15, 22173–22178. [Google Scholar]
  187. Nguyen, P.; Barraud, S.; Tabone, C.; Gaben, L.; Cassé, M.; Glowacki, F.; Hartmann, J.M.; Samson, M.P.; Maffini-Alvaro, V.; Vizioz, C.; et al. Dual-Channel CMOS Co-Integration with Si NFET and Strained-SiGe PFET in Nanowire Device Architecture Featuring Sub-15nm Gate Length. In Proceedings of the IEEE IEDM, San Francisco, CA, USA, 15–17 December 2014; pp. 16.2.1–16.2.4. [Google Scholar]
  188. Berthelon, R.; Andneu, F.; Triozon, F.; Casse, M.; Bourdet, L.; Ghibaudo., G.; Rideau, D.; Niquet, Y.M.; Barraud, S.; Nguyen., P.; et al. Impact of strain on access resistance in planar and nanowire CMOS devices. In Proceedings of the IEEE 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T224–T225. [Google Scholar]
  189. Zhang, Q.; Li, J.; Tu, H.; Yi, H.; Yan, J.; Meng, L.; Yao, J.; Wang, G.; Cao, Z.; Li, Y.; et al. Self-aligned metallic source and drain fin-on-insulator FinFETs with excellent short channel effects immunity down to 20 nm gate length. In Proceedings of the IEEE China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 11–12 March 2018; pp. 1–3. [Google Scholar]
  190. Ferain, I.; Colinge, C.A.; Colinge, J.P. Multigate transistors as the future of classical metal–oxide–semiconductor field-effect transistors. Nature 2011, 479, 310–316. [Google Scholar] [CrossRef]
  191. Zhang, J.; Frougier, J.; Greene, A. Full Bottom Dielectric Isolation to Enable Stacked Nanosheet Transistor for Low Power and High Performance Applications. In Proceedings of the IEEE 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019. [Google Scholar] [CrossRef]
  192. Mertens, H.; Ritzenthaler, R.; Pena1, V. Vertically Stacked Gate-All-Around Si Nanowire Transistors: Key Process Optimizations and Ring Oscillator Demonstration. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar] [CrossRef]
  193. Veloso, A.; Eneman, G.; Huynh-Bao, T. Vertical Nanowire and Nanosheet FETs: Device Features, Novel Schemes for Improved Process Control and Enhanced Mobility, Potential for Faster & More Energy Efficient Circuits. In Proceedings of the IEEE 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019. [Google Scholar] [CrossRef]
  194. Mastari, M.; Charlesa, M.; Bogumilowicza, Y. Si Genano-heteroepitaxy on Si and Si Genano-pillars. Nanotechnology 2018, 29, 1–32. [Google Scholar] [CrossRef]
  195. Li, J.; Li, Y.; Zhou, N.; Xiong, W.; Wang, G. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-transistors. Nanomaterials 2020, 10, 793. [Google Scholar] [CrossRef]
  196. Johnson, F.S.; Donald, S.; Miles, D.T.; Wortman, J.J. Selective Chemical Etching of Polycrystailine SiGe Alloys with Respect to Si and SiO2. J. Electron. Mater. 1992, 21, 806–810. [Google Scholar] [CrossRef]
  197. Holländer, B.; Buca, D.; Mantl, S.; Hartmann, J.M. Wet chemical etching of Si, Si1−xGex, and Ge in HF:H2O2:CH3COOH. J. Electrochem. Soc. 2010, 157, 643–646. [Google Scholar]
  198. Kil, Y.H.; Yang, J.H.; Kang, S.; Jeong, T.S.; Kim, T.S.; Shim, K.-H. Selective chemical wet etching of Si0.8Ge0.2/Si multilayer. J. Semicond. Technol. Sci. 2013, 13, 668–674. [Google Scholar] [CrossRef]
  199. Bogumilowicz, Y.; Hartmann, J.M.; Truche, R.; Campidelli, Y.; Rolland, G.; Billon, T. Chemical vapour etching of Si, SiGe and Ge with HCl; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations. Semicond. Sci. Technol. 2004, 20, 127. [Google Scholar] [CrossRef]
  200. Loubet, N.; Kormann, T.; Chabanne, G.; Denorme, S.; Dutartre, D. Selective etching of Si1−xGex versus Si with gaseous HCl for the formation of advanced CMOS devices. Thin Solid Films 2008, 517, 93–97. [Google Scholar] [CrossRef]
  201. Hartmann, J.M.; Destefanis, V.; Rabill, G.; Monfray, S. HCl selective etching of SiGe versus Si in stacks grown on (1 1 0). Semicond. Sci. Technol. 2010, 25, 105009. [Google Scholar] [CrossRef]
  202. Borel, S.; Arvet, C.; Bilde, J.; Harrison, S.; Louis, D. Isotropic etching of SiGe alloys with high selectivityto similar materials. Microelectron. Eng. 2004, 73, 301–305. [Google Scholar] [CrossRef]
  203. Stephan, B.; Christian, A.; Jeremy, B.; Veronique, C.; Didier, L. Control of Selectivity between SiGe and Si in Isotropic Etching Processes. Jpn. J. Appl. Phys. 2004, 43, 3964–3966. [Google Scholar] [CrossRef]
  204. Caubet, V.; Beylier, C.; Borel, S. Mechanisms of isotropic and selective etching between SiGe and Si. J. Vac. Sci. Technol. B 2006, 24, 2748–2754. [Google Scholar] [CrossRef]
  205. David Henry, M.; Douglas, E.A. Chemical downstream etching of Ge, Si, and SiNxfilms. J. Vac. Sci. Technol. 2016, 34, 252003. [Google Scholar] [CrossRef]
  206. Castro, M.S.B.; Barnola, S.; Glück, B. Selective and Anisotropic Dry Etching of Ge over Si. J. Integr. Circuits Syst. 2013, 8, 104–109. [Google Scholar]
  207. Li, J.; Wang, W.; Li, Y.; Zhou, N.; Wang, G.; Kong, Z.; Fu, J.; Yin, X.; Li, C.; Wang, X.; et al. Study of selective isotropic etching Si1−xGex in process of nanowire transistors. J. Mater. Sci. Mater. Electron. 2020, 31, 134–143. [Google Scholar] [CrossRef]
  208. Wang, F.; Shi, Y.; Liu, J. Highly Selective Chemical Etching of Si vs. SiGe Using NH4OH Solution. J. Electrochem. Soc. 1997, 144, 137–139. [Google Scholar]
  209. Wieser, U.; Iamundo, D.; Kunze, U. Nanoscale patterning of Si/SiGe heterostructures by electron-beam lithography and selective wet-chemical etching. Semicond. Sci. Technol. 2000, 15, 862–867. [Google Scholar] [CrossRef]
  210. Wostyn, K.; Sebaai, F.; Rip, J.; Mertens, H.; Witters, L.; Loo., R.; Hikavyy, A.; Milenin, A.; Horiguichi, N.; Collaert, N.; et al. Selective Etch of Si and SiGe for Gate All-Around Device Architecture. ECS Trans. 2015, 69, 147–152. [Google Scholar] [CrossRef]
  211. Liu, W.; Lee, Y.; Sekiguchi, R.; Yoshida, Y.; Komori, K.; Wostyn, K.; Sebaai, F.; Holsteyns, F. Selective Wet Etching in Fabricating SiGe and Ge Nanowires for Gate-All-Around MOSFETs. Solid State Phenom. 2000, 15, 262–267. [Google Scholar] [CrossRef]
  212. Oehrlein, G.S.; Bestwick, T.D.; Jones, M.A.; Jaso, M.A.; Lindstroem, J.L. Selective Dry Etching of Germanium with Respect to Silicon and Vice Versa. J. Electrochem. Soc. 1991, 138, 1443–1452. [Google Scholar] [CrossRef]
  213. Christopher, F.A.; Jong, Y.C.; Steven, W.; Andrew, C.K. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5. ACS Appl. Mater. Interfaces. 2017, 9, 20947–20954. [Google Scholar] [CrossRef]
  214. Sana, R.; Alain, C.; Virginie, L.; Christian, V.; Jean-Michel, H.; Sebastien, B.; Nicolas, P. Isotropic dry etching of Si selectively to Si0.7Ge0.3 for CMOS sub-10nm applications. J. Vac. Sci. Technol. A 2020, 38, 033002. [Google Scholar] [CrossRef]
  215. Colin, T.; John, J.C.; Patricio, E.P.; Satyarth Suri, R.; Tronic, T.A.; Turkot, R.B., Jr. Atomic Layer Etching: An Industry Perspective. ECS J. Solid State Sci. Technol. 2015, 4, N5005–N5009. [Google Scholar]
  216. Kanarik, K.J.; Tan, S.; Yang, W.; Kim, T.; Lill, T.; Kabansky, A.; Hudson., E.A.; Ohba, T.; Nojiri, K.; Yu, J.; et al. Predicting synergy in atomic layer etching. J. Vac. Sci. Technol. A 2017, 35, 05C302. [Google Scholar] [CrossRef]
  217. Huard, C.M.; Zhang, Y.; Sriraman, S.; Paterson, A.; Kanarik, K.J.; Kushner, M.J. Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions. J. Vac. Sci. Technol. A 2017, 3, 35. [Google Scholar] [CrossRef] [Green Version]
  218. Pargon, E.; Petit-Etienne, C.; Youssef, L.; Thomachot, G.; David, S. New route for selective etching in remote plasma source: Application to the fabrication of horizontal stacked Si nanowires for gate all around devices. J. Vac. Sci. Technol. A 2019, 37. [Google Scholar] [CrossRef]
  219. Bangsaruntip, S.; Balakrishnan, K.; Cheng, S.-L.; Chang, J.; Brink, M.; Lauer, I.; Bruce, R.L.; Engelmann, S.U.; Pyzyna, A.; Cohen, G.M.; et al. Density scaling with gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond. In Proceedings of the IEEE 2013 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 9–11 December 2013. [Google Scholar] [CrossRef]
  220. Nakayama, D.; Wada, A.; Kubota1, T. Highly selective silicon nitride etching to Si and SiO2 for a gate sidewall spacer using a CF3I/O2/H2 neutral beam. J. Phys. D Appl. Phys. 2013, 46, 1–7. [Google Scholar] [CrossRef]
  221. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179–3184. [Google Scholar] [CrossRef] [Green Version]
  222. Sherpa, S.D.; Ranjan, A. Quasi-atomic layer etching of silicon nitride. J. Vac. Sci. Technol. A 2017, 35. [Google Scholar] [CrossRef]
  223. Posseme, N.; Ah-Leung, V.; Pollet, O. Thin layer etching of silicon nitride: A comprehensive study of selective removal using NH3/NF3 remote plasma. J. Vac. Sci. Technol. A 2016, 34. [Google Scholar] [CrossRef]
  224. Lin, K.Y.; Li, C.; Engelmann, S.; Bruce, R.L.; Joseph, E.A.; Metzler, D.; Oherlein, G.S. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors. J. Vac. Sci. Technol. A 2018, 36. [Google Scholar] [CrossRef]
  225. Lu, W.; Lee, Y.; Murdzek, J.; Gertsch, J.; Vardi, A.; Kong, L.; George, S.M.; Del Alamo, J.A. First Transistor Demonstration of Thermal Atomic Layer Etching: InGaAsFinFETs with sub-5 nm Fin-width Featuring in situ ALE-ALD. In Proceedings of the IEEE 2013 IEEE International Electron Devices Meeting (IEDM), San Francisco, DC, USA, 1–5 December 2018. [Google Scholar] [CrossRef]
  226. Johnson, N.R.; Hite, J.K.; Mastro, M.A.; Eddy, C.R.; George, S.M. Thermal atomic layer etching of crystalline GaN using sequential exposures of XeF2 and BCl3. Appl. Phys. Lett. 2019, 114. [Google Scholar] [CrossRef]
  227. Zhu, H.; Qin, X.; Cheng, L. Remote Plasma Oxidation and Atomic Layer Etching of MoS2. ACS Appl. Mater. Interfaces. 2016, 8, 19119–19126. [Google Scholar] [CrossRef]
  228. Auth, C.; Cappellani, A.; Chun, J.S.; Dalis, A.; Davis, A.; Ghani, T.; Glass, G.; Glassman, T.; Harper, M.; Hattendorf, M.; et al. 45nm High-k + metal gate strain-enhanced transistors. In Proceedings of the IEEE Symposium on VLSI Technology 2008, Honolulu, HI, USA, 17–19 June 2008. [Google Scholar]
  229. Sebai, F.; Borniquel, J.; Vos, R.; Absil, P.; Chiarella, T.; Vrancken, C.; Boelen, P.; Baiya, E. Poly-Silicon Etch with Diluted Ammonia: Application to Replacement Gate Integration Scheme. Solid State Phenom. 2009, 145–146. [Google Scholar] [CrossRef]
  230. Sebaai, F.; Veloso, A.; Claes, M.; Devriendt, K.; Brus, S.; Absil, P.; Mertens, P.; De Gendt, S. Poly-Silicon Wet Removal for Replacement Gate Integration Scheme: Impact of Process Parameters on the Removal Rate. Solid State Phenom. 2012, 187, 53–56. [Google Scholar] [CrossRef]
  231. Takahashi, H.; Otsuji, M.; Snow, J.; Sebaai, F.; Arai, K.; Sato, M.; Nadahara, S. Wet Etching Behavior of Poly-Si in TMAH Solution. Solid State Phenom. 2012, 195, 42. [Google Scholar] [CrossRef]
  232. Hussain, M.M.; Shamiryan., D.; Paraschiv, V.; Sano, K.; Reinhardt, K.A. Cleaning Challenges of High-k/Metal Gate Structure. In Handbook of Cleaning for Semiconductor Manufacturing–Fundamentals and Applications; Reinhardt, K.A., Reidy, R.F., Eds.; Scrivender Publishing LLC: Beverly, MA, USA, 2011; pp. 249–251. [Google Scholar]
  233. Claflin, B.; Flock, K.; Lucovsky, G. High-k Dielectrics and Dual Metal Gates: Integration Issues for New CMOS Materials. MRS Proc. 1999, 567, 603–608. [Google Scholar] [CrossRef]
  234. Mertens, H.; Ritzenthaler, R.; Arimura, H.; Franco, J.; Sebaai, F.; Hikavyy, A.; Pawlak, B.J.; Machkaoutsan, V.; Devriendt, K.; Tsvetanova, D.; et al. Si-cap-free SiGe p-channel FinFETs and gate-all-around transistors in a replacement metal gate process: Interface trap density reduction and performance improvement by high-pressure deuterium anneal. In Proceedings of the 2015 Symposium on VLSI Technology, Kyoto, Japan, 16–18 June 2015; pp. T142–T143. [Google Scholar]
  235. Witterset, L.; Arimura, H.; Sebaai, F.; Hikavyy, A.; Milenin, A.P.; Loo, R.; De Keersgieter, A.; Eneman, G.; Schram, T.; Wostyn, K.; et al. Strained Germanium Gate-All-Around PMOS device demonstration using Selective Wire Release Etch prior to Replacement Metal Gate Deposition. IEEE Symp. VLSI Technol. 2017, 64, 4587–4593. [Google Scholar] [CrossRef]
  236. Chu, C.L.; Wu, K.; Luo, G.L.; Chen, B.Y.; Chen, S.H.; Wu, W.F.; Yeh, W.K. Stacked Ge-Nanosheet GAAFETs Fabricated by Ge/Si Multilayer Epitaxy. IEEE Electron Devices Lett. 2018, 39, 1133–1136. [Google Scholar] [CrossRef]
  237. Sebaai, F.; Witters, L.; Holsteyns, F.; Wostyn, K.; Rip, J.; Yukifumi, Y.; Lieten, R.; Bilodeau, S.; Cooper, E. Wet Selective SiGe Etch to Enable Ge Nanowire Formation. Solid State Phenom. 2016, 255, 3–7. [Google Scholar] [CrossRef]
  238. Yoshimoto, K.; Stoykovich, M.P.; Cao, H.B.; De Pablo, J.J.; Nealey, P.F. A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties. J. Appl. Phys. 2004, 96, 1857–1865. [Google Scholar] [CrossRef] [Green Version]
  239. Tas, N.; Sonnenberg, T.; Jansen, H.; Legtenberg, R.; Elwenspoek, M. Stiction in surface micromachining. J. Micromechanics Microengineering 1996, 6, 385–397. [Google Scholar] [CrossRef] [Green Version]
  240. Ogawa, Y. Cleaning Technology for Advanced Devices beyond 20 nm Node. Solid State Phenom. 2012, 195, 7–12. [Google Scholar] [CrossRef]
  241. Choi, G.M. Necessity of Cleaning and its Application in Future Memory Devices. Solid State Phenom. 2015, 219, 3. [Google Scholar] [CrossRef]
  242. Kim, G.; Cho, S.H.; Han, J.H.; Lee, Y.B.; Roh, C.H.; Hong, K.; Park, S.K. Effect of Drying Liquid on Stiction of High Aspect Ratio Structures. Solid State Phenom. 2012, 187, 75. [Google Scholar] [CrossRef]
  243. Koide, T.; Kimura, S.; Iimori, H.; Sugita, T.; Sato, K.; Kumon, S.; Terui, Y.; Okumura, Y.; Ogawa, Y. Nano-Structures Stiction Suppression by Molecular Structure Optimized Surface Energy Reduction Agent. ECS Trans. 2017, 80, 53. [Google Scholar] [CrossRef]
  244. Farid, S.; Vereecke, G.; Xu, X.M.; Baudot, S.; Amemiya, F.; Komori, K.; Holsteyns, F. Cleaning of High Aspect Ratio STI Structures for Advanced Logic Devices by Implementation of a Surface Modification Drying Technique. Solid State Phenom. 2018, 282, 190. [Google Scholar] [CrossRef]
  245. Markov, I.L. Limits on fundamental limitsto compxutation. Nature 2014, 512, 147–154. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  246. Edelstein, D.; Heidenreich, J.; Goldblatt, R.; Cote, W.; Uzoh, C.E.; Lustig, N.E.; Roper, P.; McDevittt, T.; Motsifft, W.; Simon, A. Full Copper Wiring in a Sub-0.25 pm CMOS ULSI Technology. In Proceedings of the IEEE International Electron Devices Meeting. IEDM, Washington, DC, USA, 10 December 1997; pp. 773–776. [Google Scholar] [CrossRef]
  247. Gambino, J.; Wynne, J.; Gill, J.; Mongeon, S.; Meatyard, D.; Lee, B.; Bamnolker, H.; Hall, L.; Li, N.; Hernandez, M.; et al. Self-aligned metal capping layers for copper interconnects using electroless plating. Microelectron. Eng. 2006, 83, 2059–2067. [Google Scholar] [CrossRef]
  248. Cathryn, C.; Baozhen, L.; Angyal, M.; Terence, K.; Vincent, M.; Yu, W.Y.; Shaoning, Y. Electromigration-resistance enhancement with CoWP or CuMn for advanced Cu interconnects. In Proceedings of the 2011 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 10–14 April 2011; pp. 3E.3.1–3E.3.5. [Google Scholar] [CrossRef]
  249. Christiansen, C.J.; Li, B.; Angyal, M.; Kane, T.; McGahay, V.; Wang, Y.Y.; Yao, S. Geometry, kinetics, and short length effects of electromigration in Mn doped Cu interconnects at the 32nm technology node. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 15–19 April 2012; pp. 5E.1.1–5E.1.4. [Google Scholar] [CrossRef]
  250. Cao, L.; Ho, P.S.; Justison, P. Electromigration reliability of Mn-doped Cu interconnects for the 28 nm technology. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 14–18 April 2013; pp. EM.5.1–EM.5.4. [Google Scholar] [CrossRef]
  251. Cao, L.; Zhang, L.; Ho, P.S.; Justison, P.; Hauschildt, M. Scaling Effects on Microstructure and Electromigration Reliability for Cu and Cu (Mn) Interconnects. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Waikoloa, HI, USA, 1–5 June 2014; pp. 5A.5.1–5A.5.5. [Google Scholar] [CrossRef]
  252. Nogami, T. Overview of interconnect technology for 7nm node and beyond—New materials and technologies to extend Cu and to enable alternative conductors (invited). In Proceedings of the 2019 Electron Devices Technology and Manufacturing Conference, Singapore, 12–15 March 2019; pp. 38–40. [Google Scholar] [CrossRef]
  253. Yu, K.; Hasegawa, T.; Oie, T.H.M.; Amano, F.; Consiglio, S.; Wajda, C.; Maekawa, K.; Leusink, G. Integration of ALD Barrier and CVD Ru Liner for Void Free PVD Cu Reflow Process on Sub-10nm node Technologies. In Proceedings of the IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 20–23 May 2014; pp. 117–120. [Google Scholar] [CrossRef]
  254. Wu, Z.; Li, R.; Xie, X.; Suen, W.; Tseng, J.; Bekiaris, N.; Vinnakota, R.; Kashefizadeh, K.; Naik, M. PVD- Treated ALD TaN for Cu Interconnect Extension to 5nm Node and Beyond. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 149–151. [Google Scholar] [CrossRef]
  255. Bhosale, P.S.; Maniscalco, J.; Lanzillo, N.; Nogami, T.; Canaperi, D.; Motoyama, K.; Huang, H.; McLaughlin, P.; Shaviv, R. Modified ALD TaN Barrier with Ru Liner and Dynamic Cu Reflow for 36nm Pitch Interconnect Integration. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 43–45. [Google Scholar] [CrossRef]
  256. Lanzillo, N.A.; Yang, C.-C.; Motoyama, K.; Huang, H.; Cheng, K.; Maniscalco, J.; Van Der Straten, O.; Penny, C.; Standaert, T.; Choi, K. Exploring the Limits of Cobalt Liner Thickness in Advanced Copper Interconnects. IEEE Electron Device Lett. 2019, 40, 1804–1807. [Google Scholar] [CrossRef]
  257. Motoyama, K.; Van Der Straten, O.; Maniscalco, J.; Huang, H.; Kim, Y.B.; Choi, J.K.; Lee, J.H.; Hu, C.-K.; McLaughlin, P.; Standaert, T.; et al. Ru liner scaling with ALD TaN barrier process for low resistance 7 nm Cu interconnects and beyond. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 40–42. [Google Scholar] [CrossRef]
  258. Zheng, J.; Chen, P.; Baum, T.H.; Lieten, R.R.; Hunks, W.; Lippy, S.; Fry, A.; Li, W.; O’Neill, J.; Xu, J.; et al. Machkaoutsan Vladimir, Badaroglu Mustafa, Yeap Geoffrey, Murdoch Gayle, Bommels Jurgen, Tokei Zsolt, Selective co growth on Cu for void-free via fill. In Proceedings of the IEEE International Interconnect Technology Conference and IEEE Materials for Advanced Metallization Conference (IITC/MAM), Grenoble, France, 18–21 May 2015; pp. 265–268. [Google Scholar] [CrossRef]
  259. van der Veen, M.H.; Vandersmissen, K.; Dictus, D.; Demuynck, S.; Liu, R.; Bin, X.; Nalla, P.; Lesniewska, A.; Hall, L.; Croes, K.; et al. Cobalt Bottom-Up Contact and Via Prefill enabling Advanced Logic and DRAM Technologies. In Proceedings of the IEEE International Interconnect Technology Conference and IEEE Materials for Advanced Metallization Conference (IITC/MAM), Grenoble, France, 18–21 May 2015; pp. 25–28. [Google Scholar] [CrossRef]
  260. Jiang, Y.; Nalla, P.; Matsushita, Y.; Harm, G.; Wang, J.; Kolics, A.; Zhao, L.; Mountsier, T.; Besser, P.; Wu, H.-J. Development of electroless Co via-prefill to enable advanced BEOL metallization and via resistance reduction. In Proceedings of the IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 111–113. [Google Scholar] [CrossRef]
  261. Pedreira, O.V.; Croes, K.; Zahedmanesh, H.; Vandersmissen, K.; Van Der Veen, M.H.; Gonzalez, V.V.; Dictus, D.; Zhao, L.; Kolics, A.; Tőkei, Z. Electromigration and Thermal Storage Study of Barrierless Co Vias. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 48–50. [Google Scholar] [CrossRef]
  262. Ciofi, I.; Roussel, P.J.; Baert, R.; Contino, A.; Gupta, A.; Croes, K.; Wilson, C.J.; Mocuta, D.; T˝okei, Z. RC Benefits of Advanced Metallization Options. In IEEE Trans. Electron Devices; IEEE: Piscataway Township, NJ, USA, 2019; Volume 66, pp. 2339–2345. [Google Scholar] [CrossRef]
  263. Zhang, W.; Brongersma, S.H.; Li, Z.; Richard, O.; Maex, K. Analysis of the size effect in electroplated fine copper wires and a realistic assessment to model copper resistivity. J. Appl. Phys. 2007, 101, 063703. [Google Scholar] [CrossRef]
  264. Wen, L.G.; Roussel, P.; Pedreira, O.V.; Briggs, B.; Groven, B.; Dutta, S.; Popovici, M.; Heylen, N.; Ciofi, I.; Vanstreels, K.; et al. Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10nm Advanced Interconnects beyond Copper. ACS Appl. Mater. Interfaces 2016, 8, 26119–26125. [Google Scholar] [CrossRef]
  265. Van Der Veen, M.H.; Heylen, N.; Pedreira, O.V.; Ciofi, I.; Decoster, S.; Gonzalez, V.V.; Jourdan, N.; Struyf, H.; Croes, K.; Wilson, C.J.; et al. Damascene benchmark of Ru, Co and Cu in scaled dimensions. In Proceedings of the IEEE International Interconnect Technology Conference, Santa Clara, CA, USA, 4–7 June 2018; pp. 172–174. [Google Scholar] [CrossRef]
  266. Wan, D.; Paolillo, S.; Rassoul, N.; Kotowska, B.K.; Blanco, V.; Adelmann, C.; Lazzarino, F.; Ercken, M.; Murdoch, G.; Bömmels, J.; et al. Subtractive Etch of Ruthenium for Sub-5nm Interconnect. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 10–12. [Google Scholar] [CrossRef]
  267. Paolillo, S.; Wan, D.; Lazzarino, F.; Rassoul, N.; Piumiand, D.; Tokei, Z. Direct metal etch of ruthenium for advanced interconnect. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2018, 36, 03E103. [Google Scholar] [CrossRef]
  268. Yoon, S.J.; Lee, S.; Lee, T.I.; Yoon, A.; Cho, B.J. Large Grain Ruthenium for Alternative Interconnects. IEEE Electron Device Lett. 2019, 40, 91–94. [Google Scholar] [CrossRef]
  269. Bekiaris, N.; Wu, Z.; Ren, H.; Naik, M.; Park, J.H.; Lee, M.; Ha, T.H.; Hou, W.; Bakke, J.R.; Gage, M.; et al. Cobalt Fill for Advanced Interconnects. In Proceedings of the IEEE International Interconnect Technology Conference, Hsinchu, Taiwan, 16–18 May 2017; pp. 1–3. [Google Scholar] [CrossRef]
  270. Griggio, F.; Palmer, J.; Pan, F.; Toledo, N.; Schmitz, A.; Tsameret, I.; Kasim, R.; Leatherman, G.; Hicks, J.; Madhavan, A.; et al. Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. 6E.3-1–6E.3-5. [Google Scholar] [CrossRef]
  271. Cao, F.; Wu, G.H.; Jiang, L.T.; Chen, G.Q. Application of Cu-C and Cu-V Alloys in Barrier-less Copper Metallization. Vacuum 2015, 122, 122–126. [Google Scholar] [CrossRef]
  272. Hu, C.-K.; Ohm, J.; Gignac, L.M.; Breslin, C.M.; Mittal, S.; Bonilla, G.; Edelstein, D.; Rosenberg, R.; Choi, S.; An, J.J.; et al. Electromigration in Cu(Al) and Cu(Mn) Damascene Lines. J. Appl. Phys. 2012, 111, 093722. [Google Scholar] [CrossRef]
  273. Nogami, T.; Penny, C.; Madan, A.; Parks, C.; Li, J.; Flaitz, P.; Uedono, A.; Chiang, S.; He, M.; Simon, A.; et al. Electromigration Extendibility of Cu(Mn) Alloy-Seed Interconnects, and Understanding the Fundamentals. In Proceedings of the 2012 International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 33–37. [Google Scholar] [CrossRef]
  274. Siew, Y.K.; Jourdan, N.; Barbarin, Y.; Machillot, J.; Demuynck, S.; Croes, K.; Tseng, J.; Ai, H.; Tang, J.; Naik, M.; et al. CVD Mn-based Self-Formed Barrier for Advanced Interconnect Technology. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Kyoto, Japan, 13–15 June 2013; pp. 1–3. [Google Scholar]
  275. Kraatz, M.; Sander, C.; Clausner, A.; Hauschildt, M.; Standke, Y.; Gall, M.; Zschech, E. Analysis of Electromigration-Induced Backflow Stresses in Cu(Mn) Interconnects Using High Statistical Sampling. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. 4F.21–4F.24. [Google Scholar] [CrossRef]
  276. Nogami, T.; Huang, H.; Shobha, H.; Patlolla, R.; Kelly, J.; Penny, C.; Hu, C.-K.; Sil, D.; DeVries, S.; Lee, J.; et al. Technology challenges and enablers to extend Cu metallization to beyond 7 nm node. In Proceedings of the 2019 Symposium on VLSI Technology, Kyoto, Japan, 9–14 June 2019; pp. T18–T19. [Google Scholar] [CrossRef]
  277. Nogami, T.; Briggs, B.D.; Korkmaz, S.; Chae, M.; Penny, C.; Li, J.; Wang, W.; McLaughlin, P.S.; Kane, T.; Parks, C.; et al. Through-Cobalt Self Forming Barrier (tCoSFB) for Cu-ULK BEOL: A Novel Concept for Advanced Technology Nodes. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 8.1.1–8.1.4. [Google Scholar] [CrossRef]
  278. Nogami, T.; Zhang, X.; Kelly, J.; Briggs, B.; You, H.; Patlolla, R.; Huang, H.; McLaughlin, P.; Lee, J.; Shobha, H.; et al. Comparison of Key Fine-Line BEOL Metallization Schemes for Beyond 7 nm Node. In Proceedings of the 2017 Symposium on VLSI Technology Digest of Technical Papers, Kyoto, Japan, 5–8 June 2017; pp. T148–T149. [Google Scholar] [CrossRef]
  279. Hong, J.; Lee, S.; Lee, S.; Han, H.; Mahata, C.; Yeon, H.-W.; Koo, B.; Kim, S.-I.; Nam, T.; Byun, K.; et al. Graphene as an Atomically Thin Barrier to Cu Diffusion into Si. Nanoscale 2014, 6, 7503–7511. [Google Scholar] [CrossRef]
  280. Nguyen, B.-S.; Lin, J.-F.; Perng, D.-C. 1-nm-Thick Graphene Tri-Layer as the Ultimate Copper Diffusion Barrier. Appl. Phys. Lett. 2014, 104, 082105. [Google Scholar] [CrossRef]
  281. Li, L.; Zhu, Z.; Yoon, A.; Wong, H.-S.P. In-Situ Grown Graphene Enabled Copper Interconnects With Improved Electromigration Reliability. IEEE Electron Device Lett. 2019, 40, 815–817. [Google Scholar] [CrossRef]
  282. Lo, C.-L.; Zhang, K.; Robinson, J.A.; Chen, Z. BEOL compatible Sub-nm Diffusion Barrier for Advanced Cu Interconnects. In Proceedings of the 2018 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 16–19 April 2018. [Google Scholar] [CrossRef]
  283. Lo, C.; Zhang, K.; Smith, R.S.; Shah, K.; Robinson, J.A.; Chen, Z. Large-Area, Single-Layer Molybdenum Disulfide Synthesized at BEOL Compatible Temperature as Cu Diffusion Barrier. IEEE Electron Device Lett. 2019, 39, 873–876. [Google Scholar] [CrossRef]
  284. Wei, B.Q.; Vajtai, R.; Ajayan, P.M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 2001, 79, 1172–1174. [Google Scholar] [CrossRef]
  285. Uhlig, B.; Liang, J.; Lee, J.; Ramos, R.; Dhavamani, A.; Nagy, N.; Dijon, J.; Okuno, H.; Kalita, D.; Georgiev, V.; et al. Progress on Carbon Nanotube BEOL Interconnects. In Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 19–23 March 2018; pp. 937–942. [Google Scholar] [CrossRef] [Green Version]
  286. Santos, J.A.; Kelly, T.F.; Ullah, M.S. Exploring Carbon Nanotubes for VLSI Interconnects. In Proceedings of the 2019 IEEE 62nd International Midwest Symposium on Circuits and Systems (MWSCAS), Dallas, TX, USA, 4–7 August 2019; pp. 1122–1126. [Google Scholar] [CrossRef]
  287. Nigam, T.; Paliwoda, P.; Wang, X.; Kerber, A. Addressing reliability challenges in advance nodes for commercial and automotive application. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019. [Google Scholar]
  288. Zhang, J.; Ando, T.; Yeung, C.W.; Wang, M.; Kwon, O.; Galatage, R.; Chao, R.; Loubet, N.; Moon, B.K.; Bao, R.; et al. High-k Metal Gate Fundamental Learning and Multi-VT Options for Stacked Nanosheet Gate-All-Around Transistor. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar]
  289. Auth, C.; Aliyarukunju, A.; Asoro, M.; Bergstrom, D.; Bhagwat, V.; Birdsall, J.; Bisnik, N.; Buehler, M.; Chikarmane, V.; Ding, Q.; et al. A 10nm high performance and low-power CMOS technology are featuring 3rd generation FinFET transistors, self-aligned quad patterning, contact over active gate and cobalt local interconnects. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar]
  290. Ji, Z.G.; Chen, H.; Li, X. Design for reliability with the advanced integrated circuit (IC) technology, challenges and opportunities. Sci. China Inf. Sci. 2019, 62, 226401. [Google Scholar] [CrossRef] [Green Version]
  291. Xiang, J.J.; Zhang, Y.B.; Li, T.T.; Wang, X.L.; Gao, J.F.; Yin, H.X.; Li, J.F.; Wang, W.W.; Ding, Y.Q.; Xu, C.Y.; et al. Investigation of thermal atomic layer deposited TiAlX (X = N or C) film as metal gate. Solid State Electron. 2016, 122, 64–69. [Google Scholar] [CrossRef]
  292. Lühn, O.; Van Hoof, C.; Ruythooren, W.; Celis, J.P. Barrier and seed layer coverage in 3D structures with different aspect ratios using sputtering and ALD processes. Microelectron. Eng. 2008, 85, 1947–1951. [Google Scholar] [CrossRef]
  293. Luoh, T.; Su, C.T.; Yang, T.H.; Chen, K.C.; Lu, C.Y. Advanced tungsten plug process for beyond nanometer technology. Microelectron. Eng. 2008, 85, 1739–1747. [Google Scholar] [CrossRef]
  294. Wang, G.; Xu, Q.; Yang, T.; Luo, J.; Xiang, J.; Xu, J.; Xu, G.; Li, C.; Li, J.; Yan, J.; et al. Application of Atomic Layer Deposition Tungsten (ALD W) as gate filling metal for 22 nm and beyond nodes CMOS technology. ECS Trans. 2013, 58, 317–324. [Google Scholar] [CrossRef]
  295. Zhou, L.; Wang, G.; Yin, X.; Ji, Z.; Liu, Q.; Xu, H.; Yang, H.; Simoen, E.; Wang, X.; Ma, X.; et al. Comparative Study on NBTI Kinetics in Si p-FinFETs with B2H6-Based and SiH4-Based Atomic Layer Deposition Tungsten (ALD W) Filling Metal. Microelectron. Reliab. 2020, 107, 113627. [Google Scholar] [CrossRef]
  296. Yang, H.; Luo, W.; Zhou, L.; Xu, H.; Tang, B.; Simoen, E.; Yin, H.; Zhu, H.; Zhao, C.; Wang, W.; et al. Impact of ALD TiN Capping Layer on Interface Trap and Channel Hot Carrier Reliability of HKMG nMOSFETs. IEEE Electron Device Lett. 2018, 39, 1129–1132. [Google Scholar] [CrossRef]
  297. Zhou, L.; Liu, Q.; Ji, Z.; Yang, H.; Xu, H.; Jiang, H.; Luo, Y.; Yin, H.; Wang, W. A Comparative Study of TiN Thickness Scaling Impact on DC and AC NBTI Kinetics in Replacement Metal Gate pMOSFETs. In Proceedings of the 2019 IEEE International Integrated Reliability Workshop (IIRW), South Lake Tahoe, CA, USA, 13–17 October 2019. [Google Scholar]
  298. Yang, H.; Qi, L.; Zhang, Y.; Tang, B.; Liu, Q.; Xu, H.; Ma, X.; Wang, X.; Li, Y.; Yin, H.; et al. Influence of an ALD TiN Capping Layer on PBTI Characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl Gate Stacks. Sci. China Inf. Sci. 2020, 63, 129403. [Google Scholar] [CrossRef] [Green Version]
  299. Chen, C.L.; King, Y.C. TiN Thickness Impact on BTI Performance. IEEE Electron Device Lett. 2011, 32, 707–709. [Google Scholar] [CrossRef]
  300. Cai, L.; Chen, W.; Du, G.; Zhang, X.; Liu, X. Layout Design Correlated with Self-Heating Effect in Stacked Nanosheet Transistors. IEEE Trans. Electron Devices 2018, 65, 2647–2653. [Google Scholar] [CrossRef]
  301. Bury, E.; Kaczer, B.; Linten, D.; Witters, L.; Mertens, H.; Waldron, N.; Zhou, X.; Collaert, N.; Horiguchi, N.; Spessot, A.; et al. Self-heating in FinFET and GAA-NW using Si, Ge and III/V channels. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016. [Google Scholar]
  302. Chen, W.; Cai, L.; Wang, K.; Zhang, X.; Liu, X.; Du, G. Self-heating induced Variability and Reliability in Nanosheet-FETs Based SRAM. In Proceedings of the 2018 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Singapore, 16–19 July 2018; pp. 1–4. [Google Scholar]
  303. Chalia, G.; Hegde, R.S. Study of Self-Heating Effects in Silicon Nano-Sheet Transistors. In Proceedings of the IEEE International Conference on Electron Devices and Solid State Circuits (EDSSC), Shenzhen, China, 6–8 June 2018. [Google Scholar]
  304. Chen, W.; Cai, L.; Wang, K.; Zhang, X.; Liu, X.; Du, G. Statistical simulation of self-heating induced variability and reliability with application to Nanosheet-FETs based SRAM. Microelectron. Reliab. 2019, 98, 63–68. [Google Scholar] [CrossRef]
  305. Wang, R.; Guo, S.F.; Zhang, Z.; Wang, Q.X.; Wu, D.H.; Wang, J.; Huang, R. Too Noisy at the Bottom?—Random Telegraph Noise (RTN) in Advanced Logic Devices and Circuits. In Proceedings of the IEEE IEDM, San Francisco, CA, USA, 1–5 December 2018. [Google Scholar]
  306. Wang, R.; Guo, S.; Zhang, Z.; Zou, J.; Mao, D.; Huang, R. Complex Random Telegraph Noise (RTN): What Do We Understand? In Proceedings of the 2018 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Singapore, 16–19 July 2018; pp. 1–7. [Google Scholar]
  307. Wu, W.; Wu, H.; Si, M.; Conrad, N.; Yi, Z.; Ye, P.D. RTN and low frequency noise on ultrascaled near ballistic Genanowiren MOSFETs. In Proceedings of the 2016 IEEE Symposium onVLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  308. Guo, S.; Lin, Z.; Wang, R.; Zhang, Z.; Zhang, Z.; Wang, Y.; Huang, R. Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018. [Google Scholar]
  309. Brown, J.; Gao, R.; Ji, Z.; Chen, J.; Wu, J.; Zhang, J.; Zhou, B.; Shi, Q.; Crowford, J.; Zhang, W. A low-power and high-speed True Random Number Generator using generated RTN. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 95–96. [Google Scholar]
  310. Guo, S.; Lin, Z.; Wang, R.; Mao, D.Y.; Wang, Y.Y.; Huang, R. Evaluation of SRAM V min shift induced by random telegraph noise (RTN): Physical understanding and prediction method. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–4. [Google Scholar]
  311. Guo, S.; Wang, R.; Mao, D.Y.; Huang, R. Anomalous Random Telegraph Noise in Nanoscale Transistors as Direct Evidence of Two Metastable States of Oxide Traps. Sci. Rep. 2017, 7, 6239. [Google Scholar] [CrossRef] [Green Version]
  312. Zhang, Z.; Guo, S.; Wang, R.; Zhang, J.Y.; Sun, Z.X.; Wang, Y.Y.; Huang, R. Prediction of Trap Occupancy for Random Telegraph Noise under Complex Waveforms. IEEE Electron Device Lett. 2020, 41, 665–668. [Google Scholar] [CrossRef]
  313. Strite, S. GaAs/Ge/GaAs heterostructures by molecular beam epitaxy. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 1990, 8, 1131. [Google Scholar] [CrossRef]
  314. Beyer, A.; Volz, K. Advanced Electron Microscopy for III/V on Silicon Integration. Adv. Mater. Interfaces 2019, 1801951. [Google Scholar] [CrossRef]
  315. Hudait, M.K.; Krupanidhi, S.B. Atomic force microscopic study of surface morphology in Si-doped epi-GaAs on Ge substrates: Effect of off-orientation. Mater. Res. Bull. 2000, 35, 909–919. [Google Scholar] [CrossRef]
  316. Wanarattikan, P.; Sanorpim, S.; Denchitcharoen, S.; Uesugi, K.; Kuboya, S.; Onabe, K. Influences of two-step growth and off-angle Ge substrate on crystalline quality of GaAs buffer layers grown by MOVPE. J. Cryst. Growth 2015, 414, 15–20. [Google Scholar] [CrossRef]
  317. Liu, A.Y.; Herrick, R.W.; Ueda, O.; Petroff, P.; Gossard, A.; Bowers, J.E. Reliability of InAs/GaAs Quantum Dot Lasers Epitaxially Grown on Silicon. IEEE J. Sel. Top. Quantum Electron. 2015, 21, 690–697. [Google Scholar] [CrossRef] [Green Version]
  318. Choi, C.; Otsuka, N.; Munns, G.; Houdre, R.; Morkoc, H.; Zhang, S.L.; Levi, D.; Klein, M.V. Effect of in situ and ex situ annealing on dislocations in GaAs on Si substrates. Appl. Phys. Lett. 1987, 50, 992. [Google Scholar] [CrossRef]
  319. Tang, M.; Chen, S.; Wu, J.; Jiang, Q.; Dorogan, V.G.; Benamara, M.; Mazur, Y.I.; Salamo, G.J.; Seeds, A.; Liu, H. 1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates using InAlAs/GaAs dislocation filter layers. Opt. Express 2014, 22, 11528. [Google Scholar] [CrossRef] [PubMed]
  320. Kong, X.; Zhou, X.; Li, S.; Chang, H.; Liu, H.; Wang, J.; Liang, R.; Wang, W.; Pan, J. High-Mobility In0.23Ga0.77As Channel MOSFETs Grown on Ge/Si Virtual Substrate by MOCVD. IEEE Trans. Electron Devices 2015, 62, 1456–1459. [Google Scholar] [CrossRef]
  321. Hayafuji, N.; Ochi, S.; Miyashita, M.; Tsugami, M.; Murotani, T.; Kawagishi, A. Effectiveness of AlGaAs/GaAs superlattices in reducing dislocation density in GaAs on Si. J. Cryst. Growth 1988, 93, 494–498. [Google Scholar] [CrossRef]
  322. Yang, J.; Jurczak, P.; Cui, F.; Li, K.; Tang, M.; Billiald, L.; Beanland, R.; Sanchez, A.M.; Liu, H. Thin Ge buffer layer on Silicon for Integration of III-V on Silicon. J. Cryst. Growth 2019, 514, 109–113. [Google Scholar] [CrossRef]
  323. Li, Q.; Tang, C.W.; Lau, K.M. Growth of Ultra-high Mobility In0.52Al0.48As/InxGa1-xAs (x >= 53%) Quantum Wells on Si Substrates using InP/GaAs Buffers by Metalorganic Chemical Vapor Deposition. Appl. Phys. Express 2014, 7, 045502. [Google Scholar] [CrossRef]
  324. Shao, F.H.; Zhang, Y.; Su, X.; Xie, S.; Shang, J.; Zhao, Y.; Cai, C.; Che, R.; Xu, Y.; Ni, H.; et al. 1.3-μm InAs/GaAs quantum dots grown on Si substrates. Chin. Phys. B 2018, 27, 530–535. [Google Scholar] [CrossRef]
  325. Langdo, T.A.; Leitz, C.W.; Currie, M.T.; Fitzgerald, E.A.; Lochtefeld, A.; Anotoniadis, D. High quality Ge on Si by epitaxial necking. Appl. Phys. Lett. 2000, 76, 3700. [Google Scholar] [CrossRef]
  326. Li, J.Z.; Bai, J.; Hydrick, J.M.; Park, J.S.; Major, C.; Carroll, M.; Fiorenza, J.G.; Lochtefeld, A. Growth and characterization of GaAs layers on polished Ge/Si by selective aspect ratio trapping. J. Cryst. Growth 2009, 311, 3133–3137. [Google Scholar] [CrossRef]
  327. Wang, Z.; Tian, B.; Pantouvaki, M.; Guo, W.; Absil, P.; Campenhout, J.V.; Merckling, C.; Thourhout, D.V. Room-temperature InP distributed feedback laser array directly grown on silicon. Nat. Photonics 2015, 9, 837–842. [Google Scholar] [CrossRef] [Green Version]
  328. Li, S.-Y.; Zhou, X.-L.; Kong, X.-L.; Li, M.; Mi, J.; Bian, J.; Wang, W.; Pan, J. Selective Area Growth of GaAs in V-Grooved Trenches on Si (001) Substrates by Aspect-Ratio Trapping. Chin. Phys. Lett. 2015, 32, 148–151. [Google Scholar] [CrossRef]
  329. Li, S.-Y.; Zhou, X.-L.; Kong, X.-L.; Li, M.-K.; Mi, J.-P.; Bian, J.; Wang, W.; Pan, J.-Q. Evaluation of growth mode and optimization of growth parameters for GaAs epitaxy in V-shaped trenches on Si. J. Cryst. Growth 2015, 426, 147–152. [Google Scholar] [CrossRef]
  330. Vaisman, M.; Jain, N.; Li, Q.; Lau, K.; Makoutz, E.; McMahon, W.; Zimmerman, J.; Tamboli, A.; Warren, E.L. Selective area growth of GaAs on Si for photovoltaic applications. In Proceedings of the 18th US Workshop on Organometallic Vapor Phase Epitaxy (OMVPE-18), Santa Fe, NM, USA, 30 July 2017. [Google Scholar]
  331. Han, Y.; Xue, Y.; Lau, K.M. Selective lateral epitaxy of dislocation-free InP on silicon-on-insulator. Appl. Phys. Lett. 2019, 114, 192105. [Google Scholar] [CrossRef]
  332. Megalini, L.; Cabinian, B.C.; Zhao, H.; Oakley, D.C.; Bowers, J.E.; Klamkin, J. Large-Area Direct Hetero-Epitaxial Growth of 1550-nm InGaAsP Multi-Quantum-Well Structures on Patterned, Exact-Oriented (001) Silicon Substrates by Metal Organic Chemical Vapor Deposition. J. Electron. Mater. 2017, 47, 982–987. [Google Scholar] [CrossRef]
  333. Shi, B.; Wang, L.; Taylor, A.A.; Brunelli, S.S.; Zhao, H.; Song, B.; Klamkin, J. MOCVD grown low dislocation density CaAs-on-V-groove patterned (001) Si for1.3 μm quantum dot laser applications. Appl. Phys. Lett. 2019, 114, 172102.1–172102.5. [Google Scholar] [CrossRef]
  334. Krishnamohan, T.; Jungemann, C.; Kim, D.H.; Ungersboeck, E.; Selberherr, S.; Pham, A.T.; Meinerzhagen, B.; Wong, P.; Nishi, Y.; Saraswat, K.C. High performance, uniaxially-strained, silicon and germanium, double-gate p-MOSFETs. Microelectron. Eng. 2007, 64, 2063–2066. [Google Scholar] [CrossRef]
  335. Chau, R.; Datta, S.; Majumdar, A. Opportunities and challenges of III-V nanoelectronics for future high-speed, low-power logic applications. In Proceedings of the IEEE Compound Semiconductor Integrated Circuit Symposium 2005. CSIC ’05, Palm Springs, CA, USA, 30 October–2 November 2005. [Google Scholar] [CrossRef]
  336. Passlack, M.; Zurcher, P.; Rajagopalan, K.; Droopad., R.; Arokwah, J.; Tutt, M.; Park, Y.B.; Johnson, E.; Hartin, O.; Zlotnicka, A.; et al. High Mobility III-V MOSFETs for RF and Digital Applications. In Proceedings of the IEEE International Electron Devices Meeting 2007, Washington, DC, USA, 10–12 December 2007; pp. 621–624. [Google Scholar] [CrossRef] [Green Version]
  337. Sun, Y.; Kiewra, E.W.; Souza, J.P.; Bucchignano, J.J.; Fogel, K.E.; Sadana, D.K.; Shahidi, G.G. Scaling of In0.7Ga0.3As buried-channel MOSFETs. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 367–370. [Google Scholar] [CrossRef]
  338. Xuan, Y.; Shen, T.; Xu, M.; Wu Y., Q.; Ye, P.D. High-performance surface channel in-rich In0.75Ga0.25As MOSFETs with ALD High-k as gate dielectric. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 371–374. [Google Scholar] [CrossRef]
  339. Kim, D.H.; Del Alamo, J.A. Scaling behavior of In0.7Ga0.3As HEMTs for logic. In Proceedings of the 2006 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 1–4. [Google Scholar] [CrossRef]
  340. Kim, D.H.; Del Alamo, J.A. 30 nm E-mode InAs PHEMTs for THz and future logic applications. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 719–722. [Google Scholar] [CrossRef]
  341. Radosavljevic, M.; Ashley, T.; Andreev, A.; Coomber, S.D.; Dewey, G.; Emeny, M.T.; Fearn, M.; Hayes, D.G.; Hilton, K.P.; Hudait, M.K.; et al. High-performance 40nm gate length InSb p-channel compressively strained quantum well field effect transistors for low-power (VCC=0.5V) logic applications. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 727–730. [Google Scholar] [CrossRef]
  342. Takagi, S.; Tezuka, T.; Irisawa, T.; Nakaharai, K.; Numata, T.; Usuda, K.; Sugiyama, N.; Suichijo, M.; Nakane, R.; Sugahara, S. Device structures and carrier transport properties of advanced CMOS using high mobility channels. Solid State Electron. 2007, 51, 526–536. [Google Scholar] [CrossRef]
  343. Takagi, S.; Takenaka, M. Ge/III-V MOS device technologies for low power integrated systems. In Proceedings of the 2015 45th European Solid State Device Research Conference (ESSDERC), Graz, Austria, 14–18 September 2015; pp. 20–25. [Google Scholar] [CrossRef]
  344. Takagi, S.; Kim, S.H.; Yokoyama, M.; Zhang, R.; Taoka, N.; Urabe, Y.; Yasuda, T.; Yamada, H.; Ichikawa, O.; Fukuhara, N.; et al. High mobility CMOS technologies using III-V/Ge channels on Si platform. Solid State Electron. 2013, 88, 2–8. [Google Scholar] [CrossRef]
  345. Kim, D.H.; Del Alamo, J.A. Lateral and Vertical Scaling of In0.7Ga0.3As HEMTs for Post-Si-CMOS Logic Applications. IEEE Trans. Electron Devices 2008, 55, 2546–2553. [Google Scholar] [CrossRef]
  346. Oktyabrsky, S.; Ye, P. Fundamentals of III-V Semiconductor MOSFETs; Springer: New York, NY, USA, 2010; pp. 32–33. ISBN 978-1-4419-1546-7. [Google Scholar]
  347. Hur, J.H.; Jeon, S.H. III-V compound semiconductors for mass-produced nano-electronics: Theoretical studies on mobility degradation by dislocation. Sci. Rep. 2016, 6, 22001. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  348. Waldron, N.; Wang, G.; Nguyen, N.D.; Orzali, T.; Merckling, C.; Brammertz, G.; Ong, P.; Windericks, G.; Helings, G.; Eneman, G.; et al. Integration of III-V on Si for high-mobility CMOS. In Proceedings of the 2012 International Silicon-Germanium Technology and Device Meeting, Berkeley, CA, USA, 4–6 June 2012. [Google Scholar] [CrossRef]
  349. Nirmal, D.; Ajayan, J. Chapter 3 InP-Based High-Electron-Mobility Transistors for High-Frequency Applications. In Nanoelectronics: Devices, Circuits and Systems; Kaushik, B.K., Ed.; Elsevier, Ltd.: Amsterdam, The Netherlands, 2019; pp. 95–114. ISBN 978-0-12-813353-8. [Google Scholar]
  350. Ajayan, J.; Nirmal, D.; Ravichandran, T.; Mohankumar, P.; Prajoon, P.; Arivazhagan, L.; Sarkar, C.K. InP high electron mobility transistors for submillimetre wave and terahertz frequency applications: A review. AEU-Int. J. Electron. Commun. 2018, 94, 199–214. [Google Scholar] [CrossRef]
  351. Leong, K.; Mei, X.; Yoshida, W.H.; Zamora, A.; Padilla, J.G.; Gorospe, B.S.; Nguyen, K.; Deal, W.R. 850 GHz Receiver and Transmitter Front-Ends Using InP HEMT. IEEE Trans. Terahertz Sci. Technol. 2017, 7, 466–475. [Google Scholar] [CrossRef]
  352. Zamora, A.; Mei, G.; Leong, K.; Lange, M.; Lee, J.; Yoshida, W.; Gorospe, B.S.; Padilla, J.G.; Deal, W.R. A Submillimeter Wave InP HEMT Multiplier Chain. IEEE Microw. Wirel. Compon. Lett. 2015, 25, 591–593. [Google Scholar] [CrossRef]
  353. Deal, W.R.; Leong, K.; Mei, X.B.; Sarkozy, S.; Radisic, V.; Lee, J.; Liu, P.H.; Yoshida, W.; Zhou, J.; Lange, M. Scaling of InP HEMT Cascode Integrated Circuits to THz Frequencies. In Proceedings of the 2010 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), Monterey, CA, USA, 3–6 October 2010; pp. 1–4. [Google Scholar]
  354. Yoneyama, M.; Sano, A.; Hagimoto, K.; Otsuji, T.; Murata, K.; Imai, Y.; Yamaguchi, S.; Enoki, T.; Sano, E. Optical repeater circuit design based on InAlAs/InGaAs HEMT digital IC technology. IEEE Trans. Microw. Theory Tech. 1997, 45, 2274–2282. [Google Scholar] [CrossRef]
  355. Fukuyama, H.; Sano, K.; Murata, K.; Kitabayashi, H.; Yamane, Y.; Enoki, T.; Sugahara, H. Photoreceiver module using an InP HEMT transimpedance amplifier for over 40 gb/s. IEEE J. Solid State Circuits 2004, 39, 1690–1696. [Google Scholar] [CrossRef]
  356. Kawano, Y.; Nakasha, Y.; Yokoo, K.; Masuda, S.; Takahashi, T.; Hirose, T.; Oishi, Y.; Hamaguchi, K. An RF Chipset for Impulse Radio UWB Using 0.13 μm InP-HEMT Technology. In Proceedings of the 2006 IEEE MTT-S International Microwave Symposium Digest, San Francisco, CA, USA, 11–16 June 2006; pp. 316–319. [Google Scholar]
  357. Orzati, A.; Robin, F.; Meier, H.; Benedikter, H.; Bachtold, W. A V-band up-converting InP HEMT active mixer with low LO-power requirements. IEEE Microw. Wirel. Compon. Lett. 2003, 13, 202–204. [Google Scholar] [CrossRef]
  358. Suemitsu, T. GaAs- and InP-Based High-Electron-Mobility Transistors. In Comprehensive Semiconductor Science and Technology; Bhattacharya, P., Fornari, R., Kamimura, H., Eds.; Elsevier, Ltd.: Amsterdam, The Netherlands, 2011; Volume 5, pp. 85–109. ISBN 978-0-444-53153-7. [Google Scholar]
  359. Kim, T.W.; Kim, D.H.; Alamo, J.A.D. Logic characteristics of 40 nm thin channel InAs HEMTs. In Proceedings of the 2010 22nd International Conference on Indium Phosphide and Related Materials (IPRM), Kagawa, Japan, 31 May–4 June 2010; pp. 1–4. [Google Scholar]
  360. Chevalier, P.; Wallart, X.; Mollot, F.; Bonte, B.; Fauquembergue, R. Composite channel HEMTs for millimeter-wave power applications. In Proceedings of the IEEE Int. Conf. on Indium Phosphide & Related Materials (IPRM), Tsukuba, Japan, 11–15 May 1998; pp. 207–210. [Google Scholar]
  361. Chin, A.; Liao, C.C.; Tsai, C.S. In0.52Al0.48As/InAs/InxAl1-x/As pseudomorphic HEMT’s on InP. IEEE Electron Device Lett. 1997, 18, 157–159. [Google Scholar] [CrossRef]
  362. Ajayan, J.; Nirmal, D. A review of InP/InAlAs/InGaAs based transistors for high frequency applications. Superlattices Microstruct. 2015, 86, 1–19. [Google Scholar] [CrossRef]
  363. Dingle, R.; Stormer, H.L.; Gossard, A.C.; Wiegmann, W. Electron Mobilities in Modulation-Doped Semiconductor Heterojunction Super-Lattices. Appl. Phys. Lett. 1978, 33, 665–667. [Google Scholar] [CrossRef]
  364. Asif Khan, M.; Bhattarai, A.; Kuznia, J.N.; Olson, D.T. High electron mobility transistor based on a GaNAlxGa1−xN heterojunction. Appl. Phys. Lett. 1993, 63, 1214–1215. [Google Scholar] [CrossRef]
  365. Chen, C.Y.; Cho, A.Y.; Cheng, K.Y.; Pearsall, T.P.; O’Connor, P.; Garbinski, P.A. Depletion mode modulation doped Al0.48In0.52As-Ga0.47In0.53As heterojunction field effect transistors. IEEE Electron Device Lett. 1982, 3, 152–155. [Google Scholar] [CrossRef]
  366. Mimura, T.; Hiyamizu, S.; Fujii, T.; Nanbu, K. A New Field-Effect Transistor with Selectively Doped GaAs/n-AlxGa1-xAs Heterojunctions. Jpn.J. Appl. Phys. 1980, 19, L225–L227. [Google Scholar] [CrossRef]
  367. Aksun, M.I.; Peng, C.K.; Ketterson, A.A.; Morkoc, H.; Gleason, K.R. High frequency modulation doped field effect transistors in InAlAs/InGaAs/InP material system. In Proceedings of the 1986 International Electron Devices Meeting (IEDM), Los Angeles, CA, USA, 7–10 December 1986; pp. 822–823. [Google Scholar]
  368. Lai, R.; Mei, X.B.; Deal, W.R.; Yoshida, W.; Kim, Y.M.; Liu, P.H.; Lee, J.; Uyeda, J.; Radisic, V.; Lange, M.; et al. Sub 50 nm InP HEMT Device with Fmax Greater than 1 THz. In Proceedings of the 2007 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 10–12 December 2007; pp. 609–611. [Google Scholar]
  369. Takahashi, T.; Makiyama, K.; Hara, N.; Sato, M.; Hirose, T. Improvement in high frequency and noise characteristics of InP based HEMTs by reducing parasitic capacitance. In Proceedings of the 2008 20th International Conference on Indium Phosphide and Related Materials (IPRM), Versailles, France, 25–29 May 2008; pp. 1–4. [Google Scholar]
  370. Pao, Y.C.; Harris, J.S. Low-conductance drain (LCD) design of InAlAs/InGaAs/InP HEMT’s. IEEE Electron Device Lett. 1992, 13, 535–537. [Google Scholar] [CrossRef]
  371. Enoki, T.; Arai, K.; Kohzen, A.; Ishii, Y. InGaAs/InP double channel HEMT on InP. In Proceedings of the IEEE Int. Conf. onIndium Phosphide & Related Materials (IPRM), Newport, RI, USA, 21–24 April 1992; pp. 14–17. [Google Scholar]
  372. Varonen, M.; Reeves, R.; Kangaslahti, P.; Samoska, L.; Cleary, K.; Akgiray, A.; Gawande, R.; Fung, A.; Gaier, T.; Weinreb, S.; et al. An MMIC low-noise amplifier design technique. In Proceedings of the 2015 IEEE MTT-S International Microwave Symposium, Phoenix, AZ, USA, 17–22 May 2015; pp. 1–4. [Google Scholar]
  373. Deal, W.R.; Mei, X.B.; Radisic, V.; Yoshida, W.; Liu, P.H.; Uyeda, J.; Barsky, M.; Fung, A.; Gaier, T.; Lai, R. Demonstration of a S-MMIC LNA with 16-dB Gain at 340-GHz. In Proceedings of the 2007 IEEE Compound Semiconductor Integrated Circuits Symposium, Portland, OR, USA, 14–17 October 2007; pp. 1–4. [Google Scholar]
  374. Deal, W.R.; Leong, K.; Zamora, A.; Radisic, V.; Mei, X.B. Recent progress in scaling InP HEMT TMIC technology to 850 GHz. In Proceedings of the 2014 IEEE MTT-S International Microwave Symposium (IMS2014), Tampa, FL, USA, 1–6 June 2014; pp. 1–3. [Google Scholar]
  375. Mei, X.B.; Yoshida, W.; Lange, M.; Lee, J.; Zhou, J.; Liu, P.H.; Leong, K.; Zamora, A.; Padilla, J.; Sarkozy, S.; et al. First Demonstration of Amplification at 1 THz Using 25-nm InP High Electron Mobility Transistor Process. IEEE Electron Device Lett. 2015, 36, 327–329. [Google Scholar] [CrossRef]
  376. Mahajan, A.; Arafa, M.; Fay, P.; Caneau, C.; Adesida, I. Enhancement-mode high electron mobility transistors (E-HEMTs) lattice-matched to InP. IEEE Electron Device Lett. 1998, 45, 2422–2429. [Google Scholar] [CrossRef]
  377. Ding, P.; Chen, C.; Asif, M.; Wang, X.; Niu, J.; Yang, F.; Ding, W.; Su, Y.; Wang, D.; Jin, Z. Si3N4/Al2O3 Stack Layer Passivation for InAlAs/InGaAs InP-Based HEMTs With Good DC and RF Performances. IEEE J. Electron Devices Soc. 2018, 6, 49–54. [Google Scholar] [CrossRef]
  378. Wang, L.D.; Ding, P.; Su, Y.B.; Chen, J.; Zhang, B.C.; Jin, Z. 100-nm T-gate InAlAs/InGaAs InP-based HEMTs with fT=249 GHz and fmax=415 GHz. Chin. Phys. B 2014, 23, 038501. [Google Scholar] [CrossRef]
  379. Chang, C.Y.; Hsu, H.T.; Edward, Y.C.; Kuo, C.I.; Datta, S.; Radosavljevic, M.; Miyamoto, Y.; Huang, G.W. Investigation of Impact Ionization in InAs-Channel HEMT for High-Speed and Low-Power Applications. IEEE Electron Device Lett. 2007, 28, 856–858. [Google Scholar] [CrossRef]
  380. Chang, E.Y.; Kuo, C.I.; Hsu, H.T.; Chiang, C.Y.; Miyamoto, Y. InAs Thin-Channel High-Electron-Mobility Transistors with Very High Current-Gain Cutoff Frequency for Emerging Submillimeter-Wave Applications. Appl. Phys. Express 2013, 6, 034001. [Google Scholar] [CrossRef] [Green Version]
  381. Kim, D.Y.; Alamo, J.A.D. Scalability of Sub-100 nm InAs HEMTs on InP Substrate for Future Logic Applications. IEEE Trans. Electron Devices 2010, 57, 1504–1511. [Google Scholar] [CrossRef] [Green Version]
  382. Kim, D.Y.; Alamo, J.A.D. 30-nm InAs PHEMTs With fT=644 GHz and fmax=681 GHz. IEEE Electron Device Lett. 2010, 31, 806–808. [Google Scholar] [CrossRef]
  383. Novoselov, K.S.; Geim, A.K.; Morozov, S.V.; Jiang, D.; Zhang, Y.; Dubonos, S.V.; Grigorieva, I.V.; Firsov, A.A. Electric field effect in atomically thin carbon films. Science 2004, 306, 666–669. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  384. Wu, Y.; Farmer, D.B.; Xia, F.; Avouris, P. Graphene Electronics: Materials, Devices, and Circuits. Proc. IEEE 2013, 101, 1620–1637. [Google Scholar] [CrossRef]
  385. Radamson, H.H.; He, X.; Zhang, Q.; Liu, J.; Cui, H.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; Gao, J.; et al. Miniaturization of CMOS. Micromachines 2019, 10, 293. [Google Scholar] [CrossRef] [Green Version]
  386. Zhu, F.F.; Chen, W.J.; Xu, Y.; Gao, C.L.; Guan, D.D.; Liu, C.H.; Qian, D.; Zhang, S.C.; Jia, J.F. Epitaxial growth of two-dimensional stanene. Nat. Mater. 2015, 14, 1020–1025. [Google Scholar] [CrossRef]
  387. Geim, A.K.; Grigorieva, I.V. Van der Waals heterostructures. Nature 2013, 499, 419–425. [Google Scholar] [CrossRef]
  388. Radamson, H.H. Graphene, Springer Handbook of Electronic and Photonic Materials; Springer Science & Business Media: Berlin, Germany, 2017. [Google Scholar] [CrossRef]
  389. Tan, C.; Cao, X.; Wu, X.J.; He, Q.; Yang, J.; Zhang, X.; Chen, J.; Zhao, W.; Han, S.; Nam, G.H.; et al. Recent Advances in Ultrathin Two-Dimensional Nanomaterials. Chem. Rev. 2017, 117, 6225–6331. [Google Scholar] [CrossRef]
  390. Coleman, J.N. Liquid Exfoliation of Defect-Free Graphene. Acc. Chem. Res. 2013, 46, 14–22. [Google Scholar] [CrossRef]
  391. Butler, S.Z.; Hollen, S.M.; Cao, L.Y.; Cui, Y.; Gupta, J.A.; Gutierrez, H.R.; Heinz, T.F.; Hong, S.S.; Huang, J.X.; Ismach, A.F.; et al. Progress, Challenges, and Opportunities in Two-Dimensional Materials Beyond Graphene. Acs Nano 2013, 7, 2898–2926. [Google Scholar] [CrossRef] [PubMed]
  392. Paton, K.R.; Varrla, E.; Backes, C.; Smith, R.J.; Khan, U.; O’Neill, A.; Boland, C.; Lotya, M.; Istrate, O.M.; King, P.; et al. Scalable production of large quantities of defect-free few-layer graphene by shear exfoliation in liquids. Nat Mater. 2014, 13, 624–630. [Google Scholar] [CrossRef] [PubMed]
  393. Varrla, E.; Backes, C.; Paton, K.R.; Harvey, A.; Gholamvand, Z.; McCauley, J.; Coleman, J.N. Large-Scale Production of Size-Controlled MoS2 Nanosheets by Shear Exfoliation. Chem. Mater. 2015, 27, 1129–1139. [Google Scholar] [CrossRef]
  394. Zeng, Z.; Yin, Z.; Huang, X.; Li, H.; He, Q.; Lu, G.; Boey, F.; Zhang, H. Single-layer semiconducting nanosheets: High-yield preparation and device fabrication. Angew. Chem. Int. Ed. Engl. 2011, 50, 11093–11097. [Google Scholar] [CrossRef] [PubMed]
  395. Zhu, Y.; Murali, S.; Cai, W.; Li, X.; Suk, J.W.; Potts, J.R.; Ruoff, R.S. Graphene and graphene oxide: Synthesis, properties, and applications. Adv Mater. 2010, 22, 3906–3924. [Google Scholar] [CrossRef]
  396. Şar, H.; Özden, A.; Yorulmaz, B.; Sevik, C.; Kosku Perkgoz, N.; Ay, F. A comparative device performance assesment of CVD grown MoS2 and WS2 monolayers. J. Mater. Sci. Mater. Electron. 2018, 29, 8785–8792. [Google Scholar] [CrossRef]
  397. Lee, Y.H.; Zhang, X.Q.; Zhang, W.; Chang, M.T.; Lin, C.T.; Chang, K.D.; Yu, Y.C.; Wang, J.T.; Chang, C.S.; Li, L.J.; et al. Synthesis of large-area MoS2 atomic layers with chemical vapor deposition. Adv. Mater. 2012, 24, 2320–2325. [Google Scholar] [CrossRef] [Green Version]
  398. Wang, L.C.; Sun, C.H.; Xu, L.Q.; Qian, Y.T. Convenient synthesis and applications of gram scale boron nitride nanosheets. Catal. Sci. Technol. 2011, 1, 1119–1123. [Google Scholar] [CrossRef]
  399. Ma, C.B.; Qi, X.; Chen, B.; Bao, S.; Yin, Z.; Wu, X.J.; Luo, Z.; Wei, J.; Zhang, H.L.; Zhang, H. MoS2 nanoflower-decorated reduced graphene oxide paper for high-performance hydrogen evolution reaction. Nanoscale 2014, 6, 5624–5629. [Google Scholar] [CrossRef]
  400. Llinas, J.P.; Fairbrother, A.; Borin Barin, G.; Shi, W.; Lee, K.; Wu, S.; Yong Choi, B.; Braganza, R.; Lear, J.; Kau, N.; et al. Short-channel field-effect transistors with 9-atom and 13-atom wide graphene nanoribbons. Nat. Commun. 2017, 8, 633. [Google Scholar] [CrossRef] [Green Version]
  401. Fiori, G.; Bonaccorso, F.; Iannaccone, G.; Palacios, T.; Neumaier, D.; Seabaugh, A.; Banerjee, S.K.; Colombo, L. Electronics based on two-dimensional materials. Nat. Nanotechnol. 2014, 9, 768–779. [Google Scholar] [CrossRef] [PubMed]
  402. Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-layer MoS2 transistors. Nat. Nanotechnol. 2011, 6, 147–150. [Google Scholar] [CrossRef] [PubMed]
  403. Xia, F.; Mueller, T.; Lin, Y.M.; Valdes-Garcia, A.; Avouris, P. Ultrafast graphene photodetector. Nat. Nanotechnol. 2009, 4, 839–843. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  404. Casalino, M.; Sassi, U.; Goykhman, I.; Eiden, A.; Lidorikis, E.; Milana, S.; De Fazio, D.; Tomarchio, F.; Iodice, M.; Coppola, G.; et al. Vertically Illuminated, Resonant Cavity Enhanced, Graphene-Silicon Schottky Photodetectors. ACS Nano 2017, 11, 10955–10963. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  405. Youngblood, N.; Chen, C.; Koester, S.J.; Li, M. Waveguide-integrated black phosphorus photodetector with high responsivity and low dark current. Nat. Photonics 2015, 9, 247–252. [Google Scholar] [CrossRef]
  406. Wang, X.; Wang, P.; Wang, J.; Hu, W.; Zhou, X.; Guo, N.; Huang, H.; Sun, S.; Shen, H.; Lin, T.; et al. Ultrasensitive and Broadband MoS(2) Photodetector Driven by Ferroelectrics. Adv. Mater. 2015, 27, 6575–6581. [Google Scholar] [CrossRef] [Green Version]
  407. Xie, Y.; Zhang, B.; Wang, S.; Wang, D.; Wang, A.; Wang, Z.; Yu, H.; Zhang, H.; Chen, Y.; Zhao, M.; et al. Ultrabroadband MoS2 Photodetector with Spectral Response from 445 to 2717 nm. Adv. Mater. 2017, 29. [Google Scholar] [CrossRef]
  408. Cao, X.; Shi, Y.; Shi, W.; Rui, X.; Yan, Q.; Kong, J.; Zhang, H. Preparation of MoS2-coated three-dimensional graphene networks for high-performance anode material in lithium-ion batteries. Small 2013, 9, 3433–3438. [Google Scholar] [CrossRef]
  409. Ahmed, B.; Anjum, D.H.; Hedhili, M.N.; Gogotsi, Y.; Alshareef, H.N. H2O2 assisted room temperature oxidation of Ti2C MXene for Li-ion battery anodes. Nanoscale 2016, 8, 7580–7587. [Google Scholar] [CrossRef] [Green Version]
  410. Li, H.; Yin, Z.; He, Q.; Li, H.; Huang, X.; Lu, G.; Fam, D.W.; Tok, A.I.; Zhang, Q.; Zhang, H. Fabrication of single- and multilayer MoS2 film-based field-effect transistors for sensing NO at room temperature. Small 2012, 8, 63–67. [Google Scholar] [CrossRef]
  411. Li, X.; Li, X.; Li, Z.; Wang, J.; Zhang, J. WS2 nanoflakes based selective ammonia sensors at room temperature. Sens. Actuators B Chem. 2017, 240, 273–277. [Google Scholar] [CrossRef]
  412. He, Q.; Wu, S.; Yin, Z.; Zhang, H. Graphene-based electronic sensors. Chem. Sci. 2012, 3. [Google Scholar] [CrossRef] [Green Version]
  413. Riedesel, C.; Müller, I.; Kaufmann, N.; Adolf, A.; Kaemmer, N.; Fritz, H.; Eberle, A.L.; Zeidler, D. First demonstration of a 331-beam SEM. In Metrology, Inspection, and Process Control for Microlithography XXXIII; International Society for Optics and Photonics: San Jose, CA, USA, 2019; Volume 10959. [Google Scholar]
  414. Keller, A.L.; Zeidler, D.; Kemen, T. High throughput data acquisition with a multi-beam SEM. In Scanning Microscopies 2014; International Society for Optics and Photonics: San Jose, CA, USA, 2014; Volume 9236, p. 92360B. [Google Scholar]
  415. Ukraintsev, V.A.; Jessen, S.; Mikeska, B.; Sallee, C.; Khvatkov, V. Challenges of SEM-based critical dimension metrology of interconnect. In Metrology, Inspection, and Process Control for Microlithography XXV; International Society for Optics and Photonics: San Jose, CA, USA, 2011; Volume 7971, p. 797109. [Google Scholar]
  416. Watanabe, K.; Takagi, Y.; Obara, K.; Okuda, H.; Nakagaki, R.; Kurosaki, T. Efficient killer-defect control using reliable high-throughput SEM-ADC. In Proceedings of the 2001 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (IEEECat.No.01CH37160), Munich, Germany, 23–24 April 2001; pp. 219–222. [Google Scholar]
  417. Patterson, O.D.; Seefeldt, B.; Liang, W.; Hu, H.; Chen, J.; Su, Y.; Yeh, H.T.; Zhang, P. Shortest path CD measurement using contour extraction. In Proceedings of the 2018 29th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), Saratoga Springs, NY, USA, 30 April–3 May 2018; pp. 313–319. [Google Scholar]
  418. Liu, L.; Xu, J.; Zhang, R.; Wu, S.; Hu, X.; Hu, X. Three-dimensional atomic force microscopy for sidewall imaging using torsional resonance mode. Scanning 2018, 2018, 7606037. [Google Scholar] [CrossRef] [PubMed]
  419. Takamizawa, H.; Shimizu, Y.; I noue, K.; Toyama, T.; Okada, N.; Kato, M.; Uchida, H.; Yano, F.; Nishida, A.; Mogami, T.; et al. Origin of characteristic variability in metal-oxide-semiconductor field-effect transistors revealed by three-dimensional atom imaging. Appl. Phys. Lett. 2011, 99, 133502. [Google Scholar] [CrossRef]
  420. Tweddle, D.; Hamer, P.; Shen, Z.; Heinz, F.; Kerenckel, P.; Riepe, S.; Schindler, F.; Wilshaw, P.R.; Moody, M.P. Atom Probe Tomography Study of Gettering in High-Performance Multi crystalline Silicon. IEEE J. Photo Volt. 2020, 10, 863–871. [Google Scholar] [CrossRef]
  421. Barnes, J.P.; Grenier, A.; Mouton, I.; Barraud, S.; Audoit, G.; Bogdanowicz, J.; Fleischmann, C.; Melkonyan, D.; Vandervorst, W.; Duguay, S.; et al. Atom probe tomography for advanced nano electronic devices: Current status and perspectives. Scr. Mater. 2018, 148, 91–97. [Google Scholar] [CrossRef]
  422. Giddings, A.D.; Koelling, S.; Shimizu, Y.; Estivill, R.; Inoue, K.; Vandervorst, W.; Yeoh, W.K. Industrial application of atom probe tomography to semiconductor devices. Scr. Mater. 2018, 148, 82–90. [Google Scholar] [CrossRef]
  423. Patrick, H.J.; Germer, T.A. Progress toward straceable nano scale optical critical dimension metrology for semiconductors. In Advanced Characterization Techniques for Optics, Semiconductors, and Nanotechnologies III; Duparre, A., Singh, B., Gu, Z.H., Eds.; SPIE: San Diego, CA, USA, 2007; Volume 6672. [Google Scholar]
  424. Germer, T.A.; Patrick, H.J.; Silver, R.M.; Bunday, B. Developing an Uncertainty Analysis for Optical Scatterometry; SPIE: San Diego, CA, USA, 2009; Volume 7272. [Google Scholar]
  425. Diebold, A.C. Characterization and metrology for nano electronics. In Frontiers of Characterization and Metrology for Nano Electronics; Seiler, D.G., Diebold, A.C., McDonald, R., Garner, C.M., Herr, D., Khosla, R.P., Secula, E.M., Eds.; American Institute of Physics: Melville, NY, USA, 2007; Volume 931, pp. 20–33. [Google Scholar]
  426. Vagos, P.; Rovira, P.I. Mueller Matrix Spectroscopy Using Chiroptic. U.S. Patent 8427645-B2, 23 April 2013. [Google Scholar]
  427. Novikova, T.; DeMartino, A.; BenHatit, S.; Drevillon, B. Application of Mueller polar imetryinconical diffraction forc ritical dimension measurements in microelectronics. Appl. Opt. 2006, 45, 3688–3697. [Google Scholar] [CrossRef]
  428. Vaid, A.; Yan, B.B.; Jiang, Y.T.; Kelling, M.; Hartig, C.; Allgair, J.; Ebersbach, P.; Sendelbach, M.; Narender, R.; Katnani, A.; et al. A holistic metrology approach: Hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM. In Metrology, Inspection, and Process Control for Microlithography XXV; International Society for Optics and Photonics: San Jose, CA, USA, 2011; Volume 7971, p. 797103. [Google Scholar]
  429. Vaid, A.; Elia, A.; Iddawela, G.; Bozdog, C.; Sendelbach, M.; Kang, B.C.; Isbester, P.; Wolfing, S. Hybrid metrology: From the lab into the fab. J. Micro/Nanolithography MEMS MOEMS 2014, 13, 041410. [Google Scholar] [CrossRef]
  430. Vaid, A.; Elia, A.; Kelling, M.; Allgair, J.; Hartig, C.; Ebersbach, P.; McLellan, E.; Sendelbach, M.; Saleh, N.; Narender, R.; et al. Hybrid metrology solution for 1X-node technology. In Metrology, Inspection, and Process Control for Microlithography XXVI; International Society for Optics and Photonics: San Jose, CA, USA, 2012; Volume 8324, p. 832404. [Google Scholar]
  431. Liu, S.Y.; Chen, X.G.; Zhang, C.W. Development of a broadband Mueller matrix ellipsometer as a powerful tool for nano structure metrology. Thin Solid Films 2015, 584, 176–185. [Google Scholar] [CrossRef]
  432. Silver, R.M.; Zhang, N.F.; Barnes, B.M.; Zhou, H.; Qin, J.; Dixson, R. Nested uncertainties and hybrid metrology to improve measurement accuracy. In Metrology, Inspection, and Process Control for Microlithography XXV; International Society for Optics and Photonics: San Jose, CA, USA, 2011; Volume 7971, p. 797116. [Google Scholar]
  433. Radamson, H.H.; Hallstedt, J. Application of high-resolution X-ray diffraction for detecting defects in SiGe(C) materials. J. Phys. Condes. Matter 2005, 17, S2315–S2322. [Google Scholar] [CrossRef]
  434. Hansson, G.V.; Radamsson, H.H.; Ni, W.X. Strain and relaxation in SI-MBE structures studied by reciprocal space mapping using high-resolution X-ray-diffraction. J. Mater. Sci. Mater. Electron. 1995, 6, 292–297. [Google Scholar] [CrossRef]
  435. Radamson, H.H.; Sardela, M.R.; Hultman, L.; Hansson, G.V. Characterization of highly Sb-doped SI using high-resolution X-ray-diffraction and transmission electron-microscopy. J. Appl. Phys. 1994, 76, 763–767. [Google Scholar] [CrossRef]
  436. Radamson, H.H.; Kolahdouz, M.; Ghandi, R.; Ostling, M. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers. Mater. Sci. Eng. B Adv. Funct. Solid State Mater. 2008, 154, 106–109. [Google Scholar] [CrossRef]
  437. Sardela, M.R.; Radamson, H.H.; Ekberg, J.O.; Sundgren, J.E.; Hansson, G.V. Relation between electrical activation and the B-induced strain in Side termined by reciprocal lattice mapping. Semicond. Sci. Technol. 1994, 9, 1272–1275. [Google Scholar] [CrossRef]
  438. Hung, P.Y.; Kasper, N.; Nadeau, J.; Ok, I.; Hobbs, C.; Vigliante, A. Application of in line high resolution X-ray diffraction in monitoring Si/SiGe and conventional Si in SOI fin-shaped field effect transistor processes. J. Vac. Sci. Technol. B 2012, 30, 5. [Google Scholar] [CrossRef]
  439. Medikonda, M.; Muthinti, G.R.; Fronheiser, J.; Kamineni, V.; Wormington, M.; Matney, K.; Adam, T.N.; Karapetrova, E.; Diebold, A.C. Measurement of periodicity and strain in arrays of single crystalsilicon and pseudomorphic Si1-xGex/Si fin structures using x-ray reciprocal space maps. J. Vac. Sci. Technol. B 2014, 32, 021804. [Google Scholar] [CrossRef]
  440. Mochizuki, S.; Murray, C.E.; Madan, A.; Pinto, T.; Wang, Y.Y.; Li, J.T.; Weng, W.H.; Jagannathan, H.; Imai, Y.; Kimura, S.; et al. Quantification of local strain distributions in nano scale strained SiGe FinFET structures. J. Appl. Phys. 2017, 122, 135705. [Google Scholar] [CrossRef]
  441. Schulze, A.; Loo, R.; Witters, L.; Mertens, H.; Gawlik, A.; Horiguchi, N.; Collaert, N.; Wormington, M.; Ryan, P.; Vandervorst, W.; et al. Strainand Compositional Analysis of (Si) Ge Fin Structures Using High Resolution X-Ray Diffraction. Phys. Status Solidi C 2017, 14. [Google Scholar] [CrossRef]
  442. Reboh, S.; Coquand, R.; Barraud, S.; Loubet, N.; Bernier, N.; Audoit, G.; Rouviere, J.L.; Augendre, E.; Li, J.; Gaudiello, J.; et al. Strain, stress, and mechanical relaxation in fin-patterned Si/SiGe multilayers for sub-7nm nanosheet gate-all-around device technology. Appl. Phys. Lett. 2018, 112, 051901. [Google Scholar] [CrossRef]
  443. Pfluger, M.; Soltwisch, V.; Probst, J.; Scholze, F.; Krumrey, M. Grazing-incidence small-angle X-ray scattering (GISAXS) on small periodic targets using large beams. IUCrJ 2017, 4, 431–438. [Google Scholar] [CrossRef] [PubMed]
  444. Wang, C.Q.; Jones, R.L.; Lin, E.K.; Wu, W.L.; Villarrubia, J.S.; Choi, K.W.; Clarke, J.S.; Rice, B.J.; Leeson, M.; Roberts, J.; et al. Line edge roughness characterization of sub-50 nm structures using CD-SAXS: Round-robin benchmark results. In Metrology, Inspection, and Process Control for Microlithography XXI; Archie, C.N., Ed.; SPIE: SanJose, CA, USA, 2007; Volume 6518, pp. 1–3. [Google Scholar]
  445. Sunday, D.F.; List, S.; Chawla, J.S.; Kline, R.J. Determining the shape and periodicity of nano structures using small-angle X-ray scattering. J. Appl. Cryst. 2015, 48, 1355–1363. [Google Scholar] [CrossRef]
  446. Sunday, D.F.; Hammond, M.R.; Wang, C.Q.; Wu, W.L.; Kline, R.J.; Stein, G.E. Three-dimensional X-ray metrology for block copolymer lithography line-space patterns. J. Micro-Nanolithography. MEMS MOEMS 2013, 12, 031103. [Google Scholar] [CrossRef]
  447. NovaFit™. Nova’s Data Empowered Metrology Solution. Available online: http://www.novami.com/nova-product/novafit (accessed on 4 April 2019).
Figure 1. Schematics of vertical gate-all-around field effect transistors (GAAFETs): (a) Vertical nanosheet GAAFET and (b) vertical nanowire GAAFET. Vertical nanowires—Lg and spacer dimension are decoupled from the gate pitch. Density is determined by distance between the wires. Many process challenges. [37].
Figure 1. Schematics of vertical gate-all-around field effect transistors (GAAFETs): (a) Vertical nanosheet GAAFET and (b) vertical nanowire GAAFET. Vertical nanowires—Lg and spacer dimension are decoupled from the gate pitch. Density is determined by distance between the wires. Many process challenges. [37].
Nanomaterials 10 01555 g001
Figure 2. TEM cross-section of a Si channel vertical GAAFET (vGAAFET) with channel last or growth from bottom to top after the formation of bottom bottom borosilicate glass (BSG), gate layer, and top BSG layers [38].
Figure 2. TEM cross-section of a Si channel vertical GAAFET (vGAAFET) with channel last or growth from bottom to top after the formation of bottom bottom borosilicate glass (BSG), gate layer, and top BSG layers [38].
Nanomaterials 10 01555 g002
Figure 3. Carrier mobility in inversion layers and quantum wells in Si, Ge, and III-V compounds. Red symbols represent electron mobility and blue ones are marked for hole mobility. The electron mobility in the compounds shown in the plots are much higher than that in Si and Ge [50].
Figure 3. Carrier mobility in inversion layers and quantum wells in Si, Ge, and III-V compounds. Red symbols represent electron mobility and blue ones are marked for hole mobility. The electron mobility in the compounds shown in the plots are much higher than that in Si and Ge [50].
Nanomaterials 10 01555 g003
Figure 4. InAs vGAAFET formed by vapor-liquid-solid (VLS) bottom-up method: (a) Nanowires after thinning of channel regions and (b) final device structures with high-k metal gates [41].
Figure 4. InAs vGAAFET formed by vapor-liquid-solid (VLS) bottom-up method: (a) Nanowires after thinning of channel regions and (b) final device structures with high-k metal gates [41].
Nanomaterials 10 01555 g004
Figure 5. For an InAs vGAAFET consisting of 280 nanowires in parallel with a diameter of 28 nm and gate length of 190 nm: (a) Transfer characteristics and (b) output characteristics [41].
Figure 5. For an InAs vGAAFET consisting of 280 nanowires in parallel with a diameter of 28 nm and gate length of 190 nm: (a) Transfer characteristics and (b) output characteristics [41].
Nanomaterials 10 01555 g005
Figure 6. In0.53Ga0.47As nanosheet FET made by a top-down method: (a) TEM cross-section and (b) the transfer characteristics [45].
Figure 6. In0.53Ga0.47As nanosheet FET made by a top-down method: (a) TEM cross-section and (b) the transfer characteristics [45].
Nanomaterials 10 01555 g006
Figure 7. Process flow for vertical sandwich GAAFET (VSAFETs): (a) SEM after Si/SiGe/Si, (b) SEM tilt image of the 3D nanostructure after Reactive ion etching (c) SEM after quasi-atomic layer etching (QALE), and (d) TEM after high-k and metal gates (HKMG) deposition [46].
Figure 7. Process flow for vertical sandwich GAAFET (VSAFETs): (a) SEM after Si/SiGe/Si, (b) SEM tilt image of the 3D nanostructure after Reactive ion etching (c) SEM after quasi-atomic layer etching (QALE), and (d) TEM after high-k and metal gates (HKMG) deposition [46].
Nanomaterials 10 01555 g007
Figure 8. (a) Secondary ion mass spectrometry (SIMS) profiles of B and Ge in a p-Si/i-SiGe/p-Si sandwich film [31]. An abrupt B profile was achieved by in-situ doped epitaxial growth. (b) TEM cross-sections of an NS pVSAFET with an Si cap and an HKMG stack with interface layer (IL) = 1.1 nm [46].
Figure 8. (a) Secondary ion mass spectrometry (SIMS) profiles of B and Ge in a p-Si/i-SiGe/p-Si sandwich film [31]. An abrupt B profile was achieved by in-situ doped epitaxial growth. (b) TEM cross-sections of an NS pVSAFET with an Si cap and an HKMG stack with interface layer (IL) = 1.1 nm [46].
Nanomaterials 10 01555 g008
Figure 9. A design of buried interconnects for vGAAFETs [59].
Figure 9. A design of buried interconnects for vGAAFETs [59].
Nanomaterials 10 01555 g009
Figure 10. (ad) Tunneling field-effect transistor (TFET) characterization: (a) SEM of a nanowire (NW) with a diameter of 10 nm when the layers are S1 = InAs, S2 = InGaAsSb, and S3 = GaSb, and (b) schematic of TFET structure in (a,c) transfer characteristics of a TFET with diameter of 20 nm, and (d) output characteristics of the same transistor in (c) [63].
Figure 10. (ad) Tunneling field-effect transistor (TFET) characterization: (a) SEM of a nanowire (NW) with a diameter of 10 nm when the layers are S1 = InAs, S2 = InGaAsSb, and S3 = GaSb, and (b) schematic of TFET structure in (a,c) transfer characteristics of a TFET with diameter of 20 nm, and (d) output characteristics of the same transistor in (c) [63].
Nanomaterials 10 01555 g010
Figure 11. Illustration of the hierarchy of technology computer-aided design (TCAD) device models [64].
Figure 11. Illustration of the hierarchy of technology computer-aided design (TCAD) device models [64].
Nanomaterials 10 01555 g011
Figure 12. Illustration of the density functional theory (DFT)-nonequilibrium Green’s function (NEGF) self-consistent simulation flow [67].
Figure 12. Illustration of the density functional theory (DFT)-nonequilibrium Green’s function (NEGF) self-consistent simulation flow [67].
Nanomaterials 10 01555 g012
Figure 13. Illustration of the tight-binding (TB)-NEGF self-consistent simulation flow [70].
Figure 13. Illustration of the tight-binding (TB)-NEGF self-consistent simulation flow [70].
Nanomaterials 10 01555 g013
Figure 14. Ilustration of the advanced drift-diffusion (DD) calibration and applications [73].
Figure 14. Ilustration of the advanced drift-diffusion (DD) calibration and applications [73].
Nanomaterials 10 01555 g014
Figure 15. Resolution, dose sensitivity, and their relationship to low line edge roughness (LER).
Figure 15. Resolution, dose sensitivity, and their relationship to low line edge roughness (LER).
Nanomaterials 10 01555 g015
Figure 16. An image of 24 nm pitch lines which are successfully implemented through extreme ultraviolet (EUV) single-patterning process [88].
Figure 16. An image of 24 nm pitch lines which are successfully implemented through extreme ultraviolet (EUV) single-patterning process [88].
Nanomaterials 10 01555 g016
Figure 17. Ge contents in source and drain (S/D) regions in different technology nodes [92].
Figure 17. Ge contents in source and drain (S/D) regions in different technology nodes [92].
Nanomaterials 10 01555 g017
Figure 18. (a) The image of the processed chips on an 200 mm wafer where three groups were distinguished according to the transistor performance and (b) illustrates the pattern of one 112 manufactured chips where the different exposed Si areas are shown in different colors [94].
Figure 18. (a) The image of the processed chips on an 200 mm wafer where three groups were distinguished according to the transistor performance and (b) illustrates the pattern of one 112 manufactured chips where the different exposed Si areas are shown in different colors [94].
Nanomaterials 10 01555 g018
Figure 19. HRTEM cross-section micrographs of the Si-fins with different prebaking temperatures as follows: (a) at 825 °C and (b) 800 °C [104].
Figure 19. HRTEM cross-section micrographs of the Si-fins with different prebaking temperatures as follows: (a) at 825 °C and (b) 800 °C [104].
Nanomaterials 10 01555 g019
Figure 20. Cross-section TEM image of strained Ge-cap/ SRB Si0.3Ge0.7SEG grown inside oxide trenches of FinFET [110].
Figure 20. Cross-section TEM image of strained Ge-cap/ SRB Si0.3Ge0.7SEG grown inside oxide trenches of FinFET [110].
Nanomaterials 10 01555 g020
Figure 21. A vertical gate-all-around nanowire structure [111].
Figure 21. A vertical gate-all-around nanowire structure [111].
Nanomaterials 10 01555 g021
Figure 22. A manufacturing process of horizontal GAA-FETs (hGAA-FETs).
Figure 22. A manufacturing process of horizontal GAA-FETs (hGAA-FETs).
Nanomaterials 10 01555 g022
Figure 23. Schematic of VLS growing nanowires [117].
Figure 23. Schematic of VLS growing nanowires [117].
Nanomaterials 10 01555 g023
Figure 24. TEM images of post-etching of Si/SiGe multilayer fins in two directions (longitudinal and transverse cross-section). Two fin-patterning options have been designed: (a) Isolated and (b) dense FETs, which were carried out by sidewall pattern transfer technology [112].
Figure 24. TEM images of post-etching of Si/SiGe multilayer fins in two directions (longitudinal and transverse cross-section). Two fin-patterning options have been designed: (a) Isolated and (b) dense FETs, which were carried out by sidewall pattern transfer technology [112].
Nanomaterials 10 01555 g024
Figure 25. Interfacial layer and high-k dielectric thickness from 45 nm to 5 nm node.
Figure 25. Interfacial layer and high-k dielectric thickness from 45 nm to 5 nm node.
Nanomaterials 10 01555 g025
Figure 26. Work function metal thicknesses from 45 nm to 5 nm node.
Figure 26. Work function metal thicknesses from 45 nm to 5 nm node.
Nanomaterials 10 01555 g026
Figure 27. Cross-sectional SEM pictures of W films grown in different conditions: (a) On blank wafers and (b) trenches filling capacity [166].
Figure 27. Cross-sectional SEM pictures of W films grown in different conditions: (a) On blank wafers and (b) trenches filling capacity [166].
Nanomaterials 10 01555 g027
Figure 28. XRD patterns of ALD W grown by SiH4 and B2H6 precursors and the estimated stress [166].
Figure 28. XRD patterns of ALD W grown by SiH4 and B2H6 precursors and the estimated stress [166].
Nanomaterials 10 01555 g028
Figure 29. A spectrum shows the improvement of tensile stress using ultraviolet thermal process (UVTP) method [172].
Figure 29. A spectrum shows the improvement of tensile stress using ultraviolet thermal process (UVTP) method [172].
Nanomaterials 10 01555 g029
Figure 30. Light transparent window of the core materials for different waveguide and the white areas represent optical transparency meanwhile the blue areas signify high energy loss [178].
Figure 30. Light transparent window of the core materials for different waveguide and the white areas represent optical transparency meanwhile the blue areas signify high energy loss [178].
Nanomaterials 10 01555 g030
Figure 31. NMOSFET electron mobility vs. contact etch stop layer (CESL) technology, (a) in 9 nm n-FET NW. A better mobility is observed under tensile CESL [187] and (b) Electron mobility vs. L for different CESL in nanowires. Inset: extracted access resistance [188].
Figure 31. NMOSFET electron mobility vs. contact etch stop layer (CESL) technology, (a) in 9 nm n-FET NW. A better mobility is observed under tensile CESL [187] and (b) Electron mobility vs. L for different CESL in nanowires. Inset: extracted access resistance [188].
Nanomaterials 10 01555 g031
Figure 32. Process flow and critical etching steps for manufacturing lateral nanowire GAA device: (a) Source/drain Fin recess for opening active area, (b) cavity etching for defining the growth position and size of the inner spacer, (c) inner spacer film deposition, (d) controlled etching of spacer film and formation of inner spacer, (e) source/drain epitaxial growth, (f) dielectric deposition and planarization, (g) dummy gate removal and nanowires formation, (h) filling and planarization of high-K metal gates, (i) interlayer dielectric deposition, and (j) metal contact plug and current direction when device is on on-state [195].
Figure 32. Process flow and critical etching steps for manufacturing lateral nanowire GAA device: (a) Source/drain Fin recess for opening active area, (b) cavity etching for defining the growth position and size of the inner spacer, (c) inner spacer film deposition, (d) controlled etching of spacer film and formation of inner spacer, (e) source/drain epitaxial growth, (f) dielectric deposition and planarization, (g) dummy gate removal and nanowires formation, (h) filling and planarization of high-K metal gates, (i) interlayer dielectric deposition, and (j) metal contact plug and current direction when device is on on-state [195].
Nanomaterials 10 01555 g032
Figure 33. Schematic of vertical nanowire GAA transistor: (a) Structural design for a single device, and (b) test structure with two devices connected in parallel via a local interconnect bridge [46].
Figure 33. Schematic of vertical nanowire GAA transistor: (a) Structural design for a single device, and (b) test structure with two devices connected in parallel via a local interconnect bridge [46].
Nanomaterials 10 01555 g033
Figure 34. The SEM images of cross section comparing the profile between wet etching and inductively coupled plasma (ICP) dry etching: (a) Wet etching SiGe with 6% HF/30% H2O2/99.8% CH3COOH = 1:2:4 etching 8 min, and (b) ICP dry etching SiGe with CF4 /O2 /He = 4:1:5 [207].
Figure 34. The SEM images of cross section comparing the profile between wet etching and inductively coupled plasma (ICP) dry etching: (a) Wet etching SiGe with 6% HF/30% H2O2/99.8% CH3COOH = 1:2:4 etching 8 min, and (b) ICP dry etching SiGe with CF4 /O2 /He = 4:1:5 [207].
Nanomaterials 10 01555 g034
Figure 35. High-reosultion reciprocal lattice maps (HRRLMs) in the vicinity of the asymmetric (113) Bragg reflection acquired on SiGe/SiMLS: (a) Unprocessed structure; (b) after vertical anisotropic etch and 100:1 DHF wet clean, and (c) lateral isotropic SiGe selectivite by using ICP dry etching [207].
Figure 35. High-reosultion reciprocal lattice maps (HRRLMs) in the vicinity of the asymmetric (113) Bragg reflection acquired on SiGe/SiMLS: (a) Unprocessed structure; (b) after vertical anisotropic etch and 100:1 DHF wet clean, and (c) lateral isotropic SiGe selectivite by using ICP dry etching [207].
Nanomaterials 10 01555 g035
Figure 36. TEM and EDX mapping: (a) TEM picture of all structures; (b) HRTEM and EDS mapping of near isotropic etching region [113].
Figure 36. TEM and EDX mapping: (a) TEM picture of all structures; (b) HRTEM and EDS mapping of near isotropic etching region [113].
Nanomaterials 10 01555 g036
Figure 37. TEM and EDS micrographs: (a) LPCVDSilicon nitride inner spacer deposition; (b) inner spacer after etching under optimal conditions [195].
Figure 37. TEM and EDS micrographs: (a) LPCVDSilicon nitride inner spacer deposition; (b) inner spacer after etching under optimal conditions [195].
Nanomaterials 10 01555 g037
Figure 38. Contact angle test of C1 and C8 [243].
Figure 38. Contact angle test of C1 and C8 [243].
Nanomaterials 10 01555 g038
Figure 39. ATR-IR spectrum measured from silicon oxide powder treated with C1 or C8 shows that C1 has better result because of higher hydrocarbon groups around 750 to 900 cm−1, while lower hydroxyl group at 960 cm−1 [243].
Figure 39. ATR-IR spectrum measured from silicon oxide powder treated with C1 or C8 shows that C1 has better result because of higher hydrocarbon groups around 750 to 900 cm−1, while lower hydroxyl group at 960 cm−1 [243].
Nanomaterials 10 01555 g039
Figure 40. Top-view SEM image of samples treated with C1 and C8 after drying [243].
Figure 40. Top-view SEM image of samples treated with C1 and C8 after drying [243].
Nanomaterials 10 01555 g040
Figure 41. Through-Co self-forming barrier (tCoSFB) structure and process flow: (a) Ultra-thin Ta(N)/CVD-Co/ high-Mn% PVD-Cu(Mn) seed stack layer deposition; (b) Cu electroplating and anneal; (c) Cu CMP; (d) PECVD SiCN(H) dielectric cap and TaMnxOy SFB formation [277].
Figure 41. Through-Co self-forming barrier (tCoSFB) structure and process flow: (a) Ultra-thin Ta(N)/CVD-Co/ high-Mn% PVD-Cu(Mn) seed stack layer deposition; (b) Cu electroplating and anneal; (c) Cu CMP; (d) PECVD SiCN(H) dielectric cap and TaMnxOy SFB formation [277].
Nanomaterials 10 01555 g041
Figure 42. Threshold voltage shift (ΔVT) kinetics at different gate VG-STR and T under constant VG stress for ALD W using B2H6 and SiH4. (a) Under constant VG stress; (b) under constant EOX stress. The SiH4-based devices shows reduced negative bias temperature instability (NBTI) degradation than B2H6-based devices under both constant VG and constant EOX stress [295].
Figure 42. Threshold voltage shift (ΔVT) kinetics at different gate VG-STR and T under constant VG stress for ALD W using B2H6 and SiH4. (a) Under constant VG stress; (b) under constant EOX stress. The SiH4-based devices shows reduced negative bias temperature instability (NBTI) degradation than B2H6-based devices under both constant VG and constant EOX stress [295].
Nanomaterials 10 01555 g042
Figure 43. Simulated read static noise margin (SNM) vs. write noise margin (WNM) of the Static Random Acess Memory (SRAM) cell considering HCI degradation and HCI together with BTI degradation after 108s operating time [304].
Figure 43. Simulated read static noise margin (SNM) vs. write noise margin (WNM) of the Static Random Acess Memory (SRAM) cell considering HCI degradation and HCI together with BTI degradation after 108s operating time [304].
Nanomaterials 10 01555 g043
Figure 44. (a) Measurement schematic illustration for occupancy probability estimation, (b) randomly generated complex waveform for one period, (c) measured window to judge trap state after complex waveforms for each cycle, and (d) comparison between the extracted occupancy probability in experiments and model results [312].
Figure 44. (a) Measurement schematic illustration for occupancy probability estimation, (b) randomly generated complex waveform for one period, (c) measured window to judge trap state after complex waveforms for each cycle, and (d) comparison between the extracted occupancy probability in experiments and model results [312].
Nanomaterials 10 01555 g044
Figure 45. Antiphase boundaries (APDs) occurs when (a) GaAs is grown on an incomplete pre-layer coverage Ge substrate or with (b) odd atoms layer step, and (c) self-annihilating of APDs when GaAs is grown on cut-off substrate.
Figure 45. Antiphase boundaries (APDs) occurs when (a) GaAs is grown on an incomplete pre-layer coverage Ge substrate or with (b) odd atoms layer step, and (c) self-annihilating of APDs when GaAs is grown on cut-off substrate.
Nanomaterials 10 01555 g045
Figure 46. (a) Reduction of threading dislocation density (TDD) at layer interfaces in a superlattice (SL). Dislocations are bent at the interfaces in SL [321], and (b) a TEM image of a part of a SL structure with GaAs buffer layer grown by LT/HT multi-steps epitaxy [324]. The TEM image shows the TDs are restricted at InGaAs/GaAs interface and do not propagate further in the same direction.
Figure 46. (a) Reduction of threading dislocation density (TDD) at layer interfaces in a superlattice (SL). Dislocations are bent at the interfaces in SL [321], and (b) a TEM image of a part of a SL structure with GaAs buffer layer grown by LT/HT multi-steps epitaxy [324]. The TEM image shows the TDs are restricted at InGaAs/GaAs interface and do not propagate further in the same direction.
Nanomaterials 10 01555 g046
Figure 47. (a) V-groove aspect ratio trapping (ART) process flow, (b) the SEM diagram of the tilted V-groove patterned Si and, (c) the atomic force microscope (AFM) of GaAs on patterned Si, respectively [333].
Figure 47. (a) V-groove aspect ratio trapping (ART) process flow, (b) the SEM diagram of the tilted V-groove patterned Si and, (c) the atomic force microscope (AFM) of GaAs on patterned Si, respectively [333].
Nanomaterials 10 01555 g047
Figure 48. Structures of complementary metal oxide semiconductor (CMOS), which are composed of III-V nMOSFET and Ge p type Metal-Oxide-Semiconductor Field-Effect Transistor (pMOSFET) [342].
Figure 48. Structures of complementary metal oxide semiconductor (CMOS), which are composed of III-V nMOSFET and Ge p type Metal-Oxide-Semiconductor Field-Effect Transistor (pMOSFET) [342].
Nanomaterials 10 01555 g048
Figure 49. Possible evolution scenario for III–V/Ge devices on Si platform through heterogeneous integration [343].
Figure 49. Possible evolution scenario for III–V/Ge devices on Si platform through heterogeneous integration [343].
Nanomaterials 10 01555 g049
Figure 50. Critical issues of Ge/III-V integration with Si CMOS platform [344].
Figure 50. Critical issues of Ge/III-V integration with Si CMOS platform [344].
Nanomaterials 10 01555 g050
Figure 51. The structure of InGaAs high electron mobility transistors (HEMTs) designed for logic applications [345].
Figure 51. The structure of InGaAs high electron mobility transistors (HEMTs) designed for logic applications [345].
Nanomaterials 10 01555 g051
Figure 52. Schematic illustration of the III-V semiconductor channel fin-shaped field effect transistor with a charged dislocation located at center of channel and vertical to the top gate surface [347].
Figure 52. Schematic illustration of the III-V semiconductor channel fin-shaped field effect transistor with a charged dislocation located at center of channel and vertical to the top gate surface [347].
Nanomaterials 10 01555 g052
Figure 53. Process flow of InGaAs devices fabricated on 200 mm Si wafers and schematic of transistor structure [348].
Figure 53. Process flow of InGaAs devices fabricated on 200 mm Si wafers and schematic of transistor structure [348].
Nanomaterials 10 01555 g053
Figure 54. Band structure of n+ InAlAs/InGaAs heterojunction.
Figure 54. Band structure of n+ InAlAs/InGaAs heterojunction.
Nanomaterials 10 01555 g054
Figure 55. A typical structure of InP HEMT [358].
Figure 55. A typical structure of InP HEMT [358].
Nanomaterials 10 01555 g055
Figure 56. Layer structure of the first HEMT device based on InP.
Figure 56. Layer structure of the first HEMT device based on InP.
Nanomaterials 10 01555 g056
Figure 57. Left to right: Graphene lattice, electronic bandstructure, linear dispersion at low energies, pseudospin components, and density of states (DOS) dependence on energy [384].
Figure 57. Left to right: Graphene lattice, electronic bandstructure, linear dispersion at low energies, pseudospin components, and density of states (DOS) dependence on energy [384].
Nanomaterials 10 01555 g057
Figure 58. Drawing of different 2D materials [385].
Figure 58. Drawing of different 2D materials [385].
Nanomaterials 10 01555 g058
Figure 59. Schematic illustration of the electrochemical Li intercalation-assisted liquid exfoliation method for preparation of single- or few-layer transition metal dichalcogenide (TMD) nanosheets [394].
Figure 59. Schematic illustration of the electrochemical Li intercalation-assisted liquid exfoliation method for preparation of single- or few-layer transition metal dichalcogenide (TMD) nanosheets [394].
Nanomaterials 10 01555 g059
Figure 60. Schematic of the growth of chemical vapor deposition (CVD) system for MoS2 and WS2 [396].
Figure 60. Schematic of the growth of chemical vapor deposition (CVD) system for MoS2 and WS2 [396].
Nanomaterials 10 01555 g060
Figure 61. SEM images of (a) the cross-section and (b) the top view of MoS2NF/rGO. Highly magnified SEM images of the top view of (c) MoS2NF/rGO and (d) MoS2AG/rGO [399].
Figure 61. SEM images of (a) the cross-section and (b) the top view of MoS2NF/rGO. Highly magnified SEM images of the top view of (c) MoS2NF/rGO and (d) MoS2AG/rGO [399].
Nanomaterials 10 01555 g061
Figure 62. High-resolution scanning tunneling microscope (STM) graphene nanoribbons (GNR) characterization and FET structure: (a) STM image of synthesized 9AGNR on Au with a scale bar of 10 nm (Vs = 1 V, It = 0.3 nA). Inset: High-resolution STM image of 9AGNR on Au (Vs = 1 V, It = 0.5 nA) with a scale bar of 1 nm, (b) high-resolution STM image of 13AGNR on Au with a scale bar of 2 nm (Vs = −0.7 V, It = 7 nA), (c) schematic of the short channel GNRFET with a 9AGNR channel and Pd source-drain electrodes, and (d) scanning electron micrograph of the fabricated Pd source-drain electrodes with a scale bar of 100 nm [400].
Figure 62. High-resolution scanning tunneling microscope (STM) graphene nanoribbons (GNR) characterization and FET structure: (a) STM image of synthesized 9AGNR on Au with a scale bar of 10 nm (Vs = 1 V, It = 0.3 nA). Inset: High-resolution STM image of 9AGNR on Au (Vs = 1 V, It = 0.5 nA) with a scale bar of 1 nm, (b) high-resolution STM image of 13AGNR on Au with a scale bar of 2 nm (Vs = −0.7 V, It = 7 nA), (c) schematic of the short channel GNRFET with a 9AGNR channel and Pd source-drain electrodes, and (d) scanning electron micrograph of the fabricated Pd source-drain electrodes with a scale bar of 100 nm [400].
Nanomaterials 10 01555 g062
Figure 63. Fabrication and structure of few-layer MoS2 photodetector. (a) Schematic structure of triple-layer MoS2; (b) Schematic structure of P(VDF-TrFE) ferroelectric polymer; (c) Optical image of the whole device; (d) 3D schematic view of the triple-layer MoS2 photodetector with monochromatic light beam [406].
Figure 63. Fabrication and structure of few-layer MoS2 photodetector. (a) Schematic structure of triple-layer MoS2; (b) Schematic structure of P(VDF-TrFE) ferroelectric polymer; (c) Optical image of the whole device; (d) 3D schematic view of the triple-layer MoS2 photodetector with monochromatic light beam [406].
Nanomaterials 10 01555 g063
Figure 64. (a) TEM and (b) SEM images of MXene flakes after delamination and before film manufacturing. (c) A schematic illustration of MXene-based functional films with adjustable properties [409].
Figure 64. (a) TEM and (b) SEM images of MXene flakes after delamination and before film manufacturing. (c) A schematic illustration of MXene-based functional films with adjustable properties [409].
Nanomaterials 10 01555 g064
Figure 65. (a) Typical back-gate graphene-based field-effect transistor (GFET) on Si/SiO2 substrate used as gas sensor, and (b) typical solution-gate GFET on flexible polyethylene terephthalate (PET) substrate used as chemical and biological sensor in aqueous solution [412].
Figure 65. (a) Typical back-gate graphene-based field-effect transistor (GFET) on Si/SiO2 substrate used as gas sensor, and (b) typical solution-gate GFET on flexible polyethylene terephthalate (PET) substrate used as chemical and biological sensor in aqueous solution [412].
Nanomaterials 10 01555 g065
Figure 66. Schematic drawing of the multi-beam SEM.
Figure 66. Schematic drawing of the multi-beam SEM.
Nanomaterials 10 01555 g066
Figure 67. The shape of 3D AFM.
Figure 67. The shape of 3D AFM.
Nanomaterials 10 01555 g067
Figure 68. Conventional atom probe tomography.
Figure 68. Conventional atom probe tomography.
Nanomaterials 10 01555 g068
Figure 69. HRRLMs of (113) reflection obtained from fins with width of 20 nm in (a) longitudinal and (b) transverse direction [441].
Figure 69. HRRLMs of (113) reflection obtained from fins with width of 20 nm in (a) longitudinal and (b) transverse direction [441].
Nanomaterials 10 01555 g069
Figure 70. Geometry of grazing incidence small-angle X-ray scattering (GISAXS) experiments [443].
Figure 70. Geometry of grazing incidence small-angle X-ray scattering (GISAXS) experiments [443].
Nanomaterials 10 01555 g070
Figure 71. An image of NOVAFit™ applications for advanced nano-scale measurements [447].
Figure 71. An image of NOVAFit™ applications for advanced nano-scale measurements [447].
Nanomaterials 10 01555 g071
Table 1. The effective workfunction of different metals grown by atomic layer deposition (ALD) for N type Metal-Oxide-Semiconductor Field-Effect Transistor (NMOSFET).
Table 1. The effective workfunction of different metals grown by atomic layer deposition (ALD) for N type Metal-Oxide-Semiconductor Field-Effect Transistor (NMOSFET).
MetalDep. MethodEffective WorkfunctionRef
TiNthermal ALD5.3 eV[146]
Ti1−xAlxNPEALD5.04 eV[147]
TiC-TiNPEALD5.0-4.6 eV[148]
TiCxNyPEALD4.66 eV[149]
TaCyPEALD4.77−4.54 eV[150]
TiCPEALD5.24–4.45 eV[151]
TaCNPEALD4.37 eV[152]
WC0.4PEALD4.2 ±0.1 eV[153]
TiAlCthermal ALD4.79–4.49 eV[154]
TiAlCthermal ALD4.4 –4.24 eV[155]
TaAlCthermal ALD4.74–4.49 eV[156]
TaAlCthermal ALD4.65–4.26 eV[157]
ErC2ALD3.9 eV[158]
Table 2. Typical applications where InP HEMTs is involved and their features [350].
Table 2. Typical applications where InP HEMTs is involved and their features [350].
No.ApplicationPerformancesGate Length (nm)Ref.
1Receiver and transmitter front-ends850 GHz band
20 dB average receiver noise figure
25[351]
2Multiplier Chain400 GHz band
Peak output power: 6.9 mW
25[352]
3Cascode amplifier17 dB gain
Noise 8.3 dB
300 GHz band
30[353]
4Optical repeaterSpeed 40 Gbits/s100[354]
5Photo receiverSpeed 40 Gbits/s100[355]
6Ultra wideband impulse Radar24 GHz band130[356]
7UP-ConverterGain of 1 dB at 64.5 GHz for 1.7 dBm LO power200[357]
Table 3. Direct Current (DC) and Radio Frequency (RF) performances of some classical InP HEMTs [350].
Table 3. Direct Current (DC) and Radio Frequency (RF) performances of some classical InP HEMTs [350].
No.Gate Length (nm) f max   ( GHz ) f T   ( GHz ) g m
(mS/mm)
I DS _ max
(mA/mm)
Ref.
1300229116701≈400[376]
21203401661100800[377]
31004152491051724[378]
4803303102630700[379]
5604787102114≈650[380]
6404024912000≈800[381]
7306816441900≈850[382]
82515006103100≈1180[375]

Share and Cite

MDPI and ACS Style

Radamson, H.H.; Zhu, H.; Wu, Z.; He, X.; Lin, H.; Liu, J.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555. https://doi.org/10.3390/nano10081555

AMA Style

Radamson HH, Zhu H, Wu Z, He X, Lin H, Liu J, Xiang J, Kong Z, Xiong W, Li J, et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials. 2020; 10(8):1555. https://doi.org/10.3390/nano10081555

Chicago/Turabian Style

Radamson, Henry H., Huilong Zhu, Zhenhua Wu, Xiaobin He, Hongxiao Lin, Jinbiao Liu, Jinjuan Xiang, Zhenzhen Kong, Wenjuan Xiong, Junjie Li, and et al. 2020. "State of the Art and Future Perspectives in Advanced CMOS Technology" Nanomaterials 10, no. 8: 1555. https://doi.org/10.3390/nano10081555

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop