Next Article in Journal
Recent Progress in Gas Sensor Based on Nanomaterials
Previous Article in Journal
A Reconfigurable Surface-Plasmon-Based Filter/Sensor Using D-Shaped Photonic Crystal Fiber
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Research on Intelligent Distribution of Liquid Flow Rate in Embedded Channels for Cooling 3D Multi-Core Chips

1
College of Power Engineering, Naval University of Engineering, Wuhan 430033, China
2
School of Energy and Electromechanic Engineering, Hunan University of Humanities, Science and Technology, Loudi 417000, China
*
Author to whom correspondence should be addressed.
Micromachines 2022, 13(6), 918; https://doi.org/10.3390/mi13060918
Submission received: 7 May 2022 / Revised: 26 May 2022 / Accepted: 27 May 2022 / Published: 9 June 2022
(This article belongs to the Topic Advances in Microfluidics and Lab on a Chip Technology)

Abstract

:
A numerical simulation model of embedded liquid microchannels for cooling 3D multi-core chips is established. For the thermal management problem when the operating power of a chip changes dynamically, an intelligent method combining BP neural network and genetic algorithm is used for distribution optimization of coolant flow under the condition with a fixed total mass flow rate. Firstly, a sample point dataset containing temperature field information is obtained by numerical calculation of convective heat transfer, and the constructed BP neural network is trained using these data. The “working condition–flow distribution–temperature” mapping relationship is predicted by the BP neural network. The genetic algorithm is further used to optimize the optimal flow distribution strategy to adapt to the dynamic change of power. Compared with the commonly used uniform flow distribution method, the intelligently optimized nonuniform flow distribution method can further reduce the temperature of the chip and improve the temperature uniformity of the chip.

1. Introduction

In Moore’s era, the feature size of semiconductor processes has been continuously reduced. The integration level of chips has been rapidly improved and the development trend of chips toward miniaturization, light weight, and high-density integration has become increasingly prominent. However, as the feature size of the semiconductor process gradually approaches the physical limit [1], the cost of improving the chip integration by reducing the feature size of the semiconductor process is getting higher and higher. In this context, advanced packaging technology represented by 3D stacked chips has become a new driver to continue to improve chip integration. The 3D stacked chip integrates multiple layers of chips in one package, which improves the space utilization of the chip, shortens the wafer interconnection distance, reduces the delay of the internal signal of the chip, and provides a more efficient development way for the high-density integration of the chip [2]. Semiconductor technology, thus, has entered the post-Moore era. However, at the same time, the heat generation rate per unit area of the 3D stacked chip has surged, which poses a more severe challenge to the chip thermal management technology [3,4].
Traditional air cooling, liquid cooling, heat pipe cooling, and semiconductor cooling struggle to meet the cooling needs of highly integrated chips. Therefore, many scholars have carried out extensive research on the thermal management of chips [5,6,7]. Among them, Tuckerman et al. [8] first proposed to construct tiny channels on the silicon base layer of the chip through chemical etching to allow the cooling fluid to pass directly, which can bypass the chip package and directly cool the surface of the integrated circuit. This embedded cooling channel provides a new solution for chip cooling [9].
Due to the broad application prospects of micro-channels, scholars have carried out extensive studies on the structure of micro-channels [10,11,12,13,14,15,16], heat transfer medium of micro-channels [17,18], heat transfer and flow properties of micro-channels [19,20,21], etc. In recent years, with the vigorous development of the new generation of artificial intelligence technology, machine learning and optimization algorithms have injected new vitality with intelligent characteristics into micro-channel research.
Bayer et al. [22] optimized the structure of a double-layer wavy wall micro-channel radiator based on a neural network, which greatly reduced the calculation time required for the optimization design compared with the traditional optimization method. Tafarroj et al. [23] established an artificial neural network model to predict the Nu number and heat transfer coefficient on the basis of experimental data of nano-fluid flow in the micro-channel radiator. Chen et al. [24] optimized the structure of the embedded micro-channel in the 3D disc model based on the NSGA-II algorithm. Wang et al. [25] optimized micro-channel heat sink structure with porous fins based on the NSGA-II algorithm, and the results showed that the overall best performance could be achieved by a tradeoff between the pumping power and the temperature drop.
At the same time, it is worth noting that the power level and spatial location of the thermal load of the practical chip are variable. As the chip’s operating tasks change, high-power regions are not fixed in certain positions [26,27,28]. Ansari et al. [29] analyzed the influence of the flow direction of cooling medium in double-layer micro-channels on the cooling performance under the condition of nonuniform heat sources with random distribution of hot spots. Li et al. [30] realized adaptive micro-channel cooling by using heat-sensitive nanocomposites. The heat-sensitive material expands when cooled but contracts when heated. Flow distribution can be adjusted according to the changing of heat load, and this provides more efficient and intelligent cooling for the areas with high heat flux density. Li et al. [31] applied a machine learning method, i.e., Bayesian optimization, to 3D integrated circuits with time-varying power distribution, realized intelligent control of coolant flow rate in micro-channels of specific layers, and kept the chip temperature stable within a safe range. However, no further research has been carried out on how to distribute the flow and enhance the cooling capacity of the channels.
It can be seen from the above that the thermal management adapting to the dynamic changes in thermal load (power) of the practical chip is very important and urgent, which has attracted the attention of many scholars. The development of intelligent technology provides an opportunity for the implementation of corresponding timely thermal management strategies. Therefore, this paper focuses on achieving highly efficient and timely intelligent cooling, when the power of 3D multi-core chips changes dynamically. A numerical simulation model of embedded liquid microchannels for cooling 3D multi-core chips is established, and an intelligent method combining a BP neural network and genetic algorithm is used to distribute the coolant flow rate. The method proposed in this paper can effectively reduce the chip temperature and improve the chip temperature uniformity without changing the channel structure. This paper could provide theoretical support for the realization of intelligent thermal management for 3D multi-core chips with high efficiency.

2. Model Building

2.1. Geometric Model

Figure 1 presents a schematic diagram of a 3D integrated chip with embedded cooling channels. As shown in the figure, the upper and lower chips were connected by a passive adapter plate in the middle, and embedded cooling micro-channels were integrated in the middle of the passive adapter plate.
Figure 2 shows a schematic diagram of the 3D model. The liquid cooling channels had three inlets and three outlets. According to the change in the chip power distribution, the proportional flow rate of the three inlets could be adjusted to achieve the best cooling efficiency. The upper chip was a computing chip with six cores, each of which had a power of 15 W. The working state of each core was related to the task being performed by the chip. The lower chip was the basic logic chip, mainly used to realize basic functions, and its power was set at 10 W.
Figure 3 shows the dimensions of the microchannel heat sink [29]. The length, width, and height of the heat sink were 23,000 μm, 23,000 μm, and 1000 μm respectively. The wall thickness of the micro-channel was 20 μm. The number of channels was N = 21, and the diameter of the inlet/outlet was 700 μm.

2.2. Physical Model

In this paper, the solid material of the numerical model was silicon. The density, constant pressure heat capacity, and thermal conductivity of silicon were ρ S = 2330 [ K g · m 3 ] , c p , s = 712 [ J · K g 1 · K 1 ] , and k s = 148 [ W · m 1 · K 1 ] . The cooling medium was water, and the thermophysical parameters of water varied with temperature.
The simplified assumptions of the numerical model were as follows:
(1)
The fluid flow and heat transfer were in a steady state, the cooling fluid was incompressible, and the flow state was laminar flow;
(2)
The physical properties of solid material did not change with temperature, and the thermophysical parameters of the solid material were isotropic;
(3)
The no-slip boundary condition was adopted for the walls;
(4)
The model did not take into account the effects of gravity, the effects of radiative heat transfer, and the effects of heat dissipation due to fluid viscous dissipation.
On the basis of the above assumptions, the continuity equation is:
ρ f · u = 0 .
The momentum conservation equation is:
ρ f ( u u ) = · [ p I + μ ( u + ( u ) T ) ] + F .
The energy conservation equation for fluid is:
u · ρ f c p , f T f = 2 k f T f .
The energy conservation equation for solid is:
2 T s = 0 .
The energy equation for steady-state heat conduction of a constant heat source is:
2 T + q k s = 0 .
The continuity equation for the heat flux and temperature at the solid–fluid interface is:
k s T s n = k f T f n .
T s = T f .
In these formulas, ρ f is the fluid density, u is the fluid velocity vector, p (Pa) is the pressure, I is the unit matrix, F (N) is the body force vector, k f (W·m−1·K−1) is the thermal conductivity of the fluid, and k s (W·m−1·K−1) is the thermal conductivity of the solid.
The boundary conditions were as follows:
(1)
The inlet water temperature was constant;
(2)
The inlet mass flow rate was M0 = 0.001 kg/s;
(3)
Outlet pressure was 0 Pa;
(4)
The outer walls of the micro-channel were insulated, except where the micro-channel contacted the chip.

2.3. Model Validation

COMSOL Multiphysics 5.6 was used to solve the governing equations with the corresponding boundary conditions. The grid numbers were 75,258, 278,271, 486,062, and 1,008,354, corresponding to 368.95 K, 371.38 K, 374.26 K, and 373.26 K respectively. The accuracy obtained on the basis of any numerical calculation model is directly related to the finite element mesh used. When more grids are used in a numerical calculation, its precision is higher, but more computing time and computing resources are required. In order to choose an appropriate number of grids, the relative error | ( T max , i T max , i 1 ) / T 0 | of temperature was selected as the calculation criterion, where T0 is the corresponding temperature when the grid number was 1,008,354. The relative errors were 1.15%, 0.50% and 0.27%, respectively. Considering the time and accuracy of the examples in this paper, when the relative error was 0.27%, it was considered that the accuracy requirements were met. Thus, the meshing strategy of 486,062 was selected. In order to further verify the accuracy of the numerical model, the micro-needle fin heat sink model in [32] with forced convection heat transfer and sizes similar to those in the model shown in Figure 3, was established using the modeling method in this paper. Figure 4a presents a comparison of the simulation results with the experimental results in [32]. It can be seen from the figure that the maximum error between the thermal resistance of heat sink obtained using this method and the thermal resistance measured experimentally [32] was 0.071, while the minimum error was 0.011. Figure 4b shows that the calculation residuals for temperature and velocity converged to 10−6, i.e., the calculations can be considered to be converged.

3. Optimization Method

Figure 5 presents the optimization flowchart. Steps 1–3 were used to obtain neural network training sample points, i.e., (1) determine the range of variables and parameters; (2) select sample points for neural network training; (3) obtain sample points required for BP neural network training through COMSOL numerical calculations. Steps 4–5 were used to obtain the “working condition–flow distribution–temperature” relationship of the model of embedded liquid microchannels for cooling 3D multi-core chips through a neural network, i.e., (4) perform function fitting on the sample points, and obtain “working condition–flow distribution–temperature” neural network model of embedded liquid microchannels for cooling 3D multi-core chips using the BP neural network algorithm; (5) according to the “working condition–flow distribution–temperature” mapping relationship, obtain the “flow distribution–temperature” mapping relationship under the given conditions. Step 6 was used to find the optimal flow distribution on the basis of the mapping relationship, i.e., (6) taking the “flow distribution–temperature” mapping relationship as the objective function of the genetic algorithm for seeking the minimum temperature, obtain the optimal flow distribution under a given chip working state.
Figure 6 shows the legend of the “condition–flow distribution–temperature” model. The “condition–flow distribution–temperature” model was a mapping relationship obtained through neural network fitting. The maximum temperature of the chip could be obtained after establishing the chip working condition and inlet flow distribution.

3.1. BP Neural Network Training

The computing module of the selected 3D chip consisted of six cores, and the working states of each core were independent of each other. The embedded liquid cooling micro-channel had three inlets, and the total flow rate was M0 = 0.001 kg/s. The BP neural network was trained on the basis of the sample points. The ratio of training data to testing data was 8:2. The hierarchical structure of the neural network was an input layer with nine artificial neuron cells, a hidden layer with 10 artificial neuron cells, and an output layer with one artificial neuron cell. Figure 7 shows a schematic diagram of the neural network configuration. The improved Bayesian regularization algorithm was used to train the established neural network.
Figure 8a shows the scatter plot of the target output and the prediction output. The correlation coefficient between the target output and all 1494 samples was 0.99327. Figure 8b shows the comparison between the expected output and predicted output of the neural network under 100 different chip operating conditions in the test dataset.

3.2. Genetic Algorithm Optimization

For a given chip working condition, the mapping relationship between flow distribution and temperature was obtained using the neural network, and then the genetic algorithm was used to obtain the optimal flow rate distribution. Considering the accuracy requirements of this problem and the diversity of the generated individuals, the binary code number of the entry flow was 5 bits, the maximum genetic generation number was 500, the generation gap was 0.8, the crossover probability was 0.7, and the odd-numbered individuals were crossed with their adjacent offspring.

4. Result Analyses

Table 1 shows the working conditions for four different chips. Because of the different running programs of each chip, the working state of each chip core was different.
Figure 9 shows the intergenerational optimization trajectories for finding the optimal flow distribution through the genetic algorithm under four different chip working conditions.
Table 2 shows the comparison of the maximum operating temperature of the chip before and after the optimization of the flow distribution under the condition of a certain total flow. It can be seen from the table that, after the optimization of flow distribution, the maximum temperature corresponding to the chip was reduced. The maximum temperature of working condition 1 was decreased by 2.63 K, that of working condition 2 was decreased by 2.63 K, that of working condition 3 was decreased by 6.06 K, and that of working condition 4 was decreased by 4.63 K.
Figure 10 shows the temperature contours of the inlet flow before (left) and after (right) optimization under the four chip conditions. It can be seen that, under the premise that the total flow remained unchanged, through the optimization of the genetic algorithm, increasing the distribution ratio of the flow to the operating area of the chip could reduce the maximum temperature of the chip during operation. At the same time, it can be found that the chip operating conditions corresponding to the optimized flow distribution had better temperature uniformity. This is because, when the flow was evenly distributed, the coolant over-cooled the nonworking areas of the chip, thereby increasing the temperature differential across the chip.
Figure 11a,b show the temperature variation of the chip lateral nodes before and after flow optimization. The running chip core in the picture is marked with a red cross. It can be seen from the figure that, after the flow distribution optimization, the maximum temperature of the chip operation was reduced. At the same time, the difference between the highest temperature and the lowest temperature on the chip surface was also reduced. The difference between the highest and lowest temperature in Figure 11a decreased from 46.86 K to 41.83 K. The difference between the highest and lowest temperature in Figure 11b decreased from 55.24 K to 43.78 K. This is due to the fact that the even distribution of the flow allowed the micro-channels to over-cool the nonoperating regions of the chip and under-cool the operating regions compared to the smart optimized flow distribution. The intelligently optimized flow distribution could more effectively cool the operating area of the chip, and it improved the temperature uniformity of the A surface. The uniform temperature distribution on the surface of the chip is beneficial to reduce the transfer delay of the signal inside the chip, while avoiding the thermal stress due to the excessive temperature gradient that causes local warping of the chip.

5. Conclusions

In this paper, a numerical simulation model of embedded liquid microchannels for cooling 3D multi-core chips was established. Aiming at the thermal management problem when the working power of the practical chip changes dynamically, the temperature field sample information was obtained by numerical calculation. The BP neural network was trained on the basis of the sample data to obtain the “working condition–flow distribution–temperature” mapping relationship. The optimal flow distribution strategy was further optimized using a genetic algorithm to adapt to the dynamic change of power, so as to minimize the working temperature of the chip under corresponding working conditions. Compared with the currently commonly used uniform flow distribution method, the intelligently optimized nonuniform flow distribution method further reduced the maximum temperature of the chip during operation and improved the uniformity of the chip temperature field. Under the given test conditions, the maximum temperature could be reduced by a maximum of 6.06 K, and the temperature difference on the chip surface could be reduced by a maximum of 11.46 K. It can be seen that the method developed in this paper can provide timely intelligent and efficient cooling for 3D multi-core chips under different working conditions.

Author Contributions

J.Z., conceptualization, data curation, formal analysis, methodology, derivation and calculation, validation, writing—original draft, and software; Z.X., conceptualization, methodology, project administration, supervision, writing—reviewing and editing, and funding acquisition; Z.L., methodology and visualization; P.L., visualization and writing—original draft; K.X., validation and visualization. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the National Natural Science Foundation of China, grant numbers 51979278 and 51579244.

Acknowledgments

The authors wish to thank the reviewers for their careful, unbiased, and constructive suggestions, which led to this revised manuscript.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Patti, R.S. Three-dimensional integrated circuits and the future of system-on-chip designs. Proc. IEEE 2006, 94, 1214–1224. [Google Scholar] [CrossRef]
  2. Wang, Z.Y. Microsystems using three-dimensional integration and TSV technologies: Fundamentals and applications. Microelectron. Eng. 2019, 210, 35–64. [Google Scholar] [CrossRef]
  3. Salvi, S.S.; Jain, A. A review of recent research on heat transfer in three-dimensional integrated circuits (3D ICs). Packag. Manuf. Technol. 2021, 12, 21–32. [Google Scholar]
  4. Tan, L.; Liu, P.; She, C.; Xu, P.; Yan, L.; Quan, H. Heat dissipation characteristics of IGBT module based on flow-solid coupling. Micromachines 2022, 13, 554. [Google Scholar] [CrossRef] [PubMed]
  5. Murshed, S.M.S.; De Castro, C.A.N. A critical review of traditional and emerging techniques and fluids for electronics cooling. Renew. Sustain. Energy Rev. 2017, 78, 821–833. [Google Scholar] [CrossRef]
  6. Lohrasbi, S.; Hammer, R.; Essl, W.; Reiss, G.; Defregger, S.; Sanz, W. A comprehensive review on the core thermal management improvement concepts in power electronics. IEEE Access 2020, 8, 166880–166906. [Google Scholar] [CrossRef]
  7. Nan, G.; Xie, Z.H.; Guan, X.N.; Ji, X.K.; Lin, D.G. Constructal design for the layout of multi-chip module based on thermal-flow-stress coupling calculation. Microelectron. Reliab. 2021, 127, 114417. [Google Scholar] [CrossRef]
  8. Tuckerman, D.B.; Pease, R.F.W. High-performance heat sinking for VLSI. IEEE Electron Device Lett. 1981, 2, 126–129. [Google Scholar] [CrossRef]
  9. Van, E.R.; Soleimanzadeh, R.; Nela, L.; Kampitsis, G.; Matioli, E. Co-designing electronics with microfluidics for more sustainable cooling. Nature 2020, 585, 211–216. [Google Scholar]
  10. Cai, G.C.; Liang, Y.M.; Liu, Z.C.; Liu, W. Design and optimization of bio-inspired wave-like channel for a PEM fuel cell applying genetic algorithm. Energy 2020, 192, 116670–116671. [Google Scholar] [CrossRef]
  11. Peng, Y.; Zhu, X.G.; Cao, B.; Luo, Y.Q.; Zhou, W.J. Heat transfer and permeability of the tree-like branching networks. Int. J. Heat Mass Transf. 2019, 129, 801–811. [Google Scholar] [CrossRef]
  12. Naqiuddin, N.H.; Saw, L.H.; Yew, M.C.; Yusof, F.; Ng, T.C.; Yew, M.K. Overview of micro-channel design for high heat flux application. Renew. Sustain. Energy Rev. 2018, 82, 901–914. [Google Scholar] [CrossRef]
  13. Martin, E.; Valeije, A.; Sastre, F.; Velazquez, A. Impact of channels aspect ratio on the heat transfer in finned heat sinks with tip clearance. Micromachines 2022, 13, 599. [Google Scholar] [CrossRef] [PubMed]
  14. Li, H.W.; Li, Y.J.; Huang, B.H.; Xu, T.T. Numerical investigation on the optimum thermal design of the shape and geometric parameters of microchannel heat exchangers with cavities. Micromachines 2020, 11, 721. [Google Scholar] [CrossRef]
  15. Feng, H.J.; Chen, L.G.; Xia, S.J. Constructal design for disc-shaped heat exchanger with maximum thermal efficiency. Int. J. Heat Mass Transf. 2019, 130, 740–746. [Google Scholar] [CrossRef]
  16. Yu, S.F.; Wang, S.Y.; Lu, M.; Zuo, L. A novel polyimide based micro heater with high temperature uniformity. Sens. Actuators A Phys. 2017, 257, 58–64. [Google Scholar] [CrossRef] [Green Version]
  17. Li, W.L.; Xie, Z.H.; Xi, K.; Xia, S.J.; Ge, Y.L. Constructal optimization of rectangular microchannel heat sink with porous medium for entropy generation minimization. Entropy 2021, 23, 1528. [Google Scholar] [CrossRef]
  18. Jiang, H.F.; Zhang, Q.; Shi, L. Effective thermal conductivity of carbon nanotube-based nanofluid. J. Taiwan Inst. Chem. Eng. 2015, 55, 76–81. [Google Scholar] [CrossRef]
  19. Ma, Y.; Yan, H.B.; Xie, G.N. Flow and thermal performance of sandwich panels with plate fins or/and pyramidal lattice. Appl. Therm. Eng. 2019, 164, 114468. [Google Scholar] [CrossRef]
  20. Deng, S.; Xiao, T. Transient two-layer electroosmotic flow and heat transfer of power-law nanofluids in a microchannel. Micromachines 2022, 13, 405. [Google Scholar] [CrossRef]
  21. Wu, J.M.; Zhao, J.Y.; Lei, J.; Liu, B. Effectiveness of nanofluid on improving the performance of microchannel heat sink. Appl. Therm. Eng. 2016, 101, 402–412. [Google Scholar] [CrossRef]
  22. Bayer, Ö.; Oskouei, S.B.; Aradag, S. Investigation of double-layered wavy microchannel heat sinks utilizing porous ribs with artificial neural networks. Int. Commun. Heat Mass Transf. 2022, 134, 105984. [Google Scholar] [CrossRef]
  23. Tafarroj, M.M.; Mahian, O.; Kasaeian, A.; Sakamatapan, K.; Dalkilic, A.S.; Wongwises, S. Artificial neural network modeling of nanofluid flow in a microchannel heat sink using experimental data. Int. Commun. Heat Mass Transf. 2017, 86, 25–31. [Google Scholar] [CrossRef]
  24. Chen, C.; You, J.; Feng, H.J.; Chen, L.G. A multi-objective study on the constructal design of non-uniform heat generating disc cooled by radial-and dendritic-pattern cooling channels. Sci. China Technol. Sci. 2021, 64, 729–744. [Google Scholar] [CrossRef]
  25. Wang, T.H.; Wu, H.C.; Meng, J.H.; Yan, W.M. Optimization of a double-layered microchannel heat sink with semi-porous-ribs by multi-objective genetic algorithm. Int. J. Heat Mass Transf. 2020, 149, 119217. [Google Scholar] [CrossRef]
  26. Wei, J. Challenges in cooling design of CPU packages for high-performance servers. Heat Transf. Eng. 2008, 29, 178–187. [Google Scholar] [CrossRef]
  27. Cetkin, E.; Lorente, S.; Bejan, A. Vascularization for cooling a plate heated by a randomly moving source. J. Appl. Phys. 2012, 112, 084906. [Google Scholar] [CrossRef]
  28. Sharma, C.S.; Tiwari, M.K.; Zimmermann, S.; Brunschwiler, T.; Schlottig, G.; Michel, B.; Poulikakos, D. Energy efficient hotspot-targeted embedded liquid cooling of electronics. Appl. Energy 2015, 138, 414–422. [Google Scholar] [CrossRef]
  29. Ansari, D.; Kim, K.Y. Performance analysis of double-layer microchannel heat sinks under non-uniform heating conditions with random hotspots. Micromachines 2017, 8, 54. [Google Scholar] [CrossRef]
  30. Li, X.; Xuan, Y. Self-adaptive cooling of chips with unevenly distributed high heat fluxes. Appl. Therm. Eng. 2022, 202, 117913. [Google Scholar] [CrossRef]
  31. Li, Y.S.; Li, E.P.; Yu, H.; Oh, H.J.; Bakir, M.S.; Swaminathan, M. Machine learning for 3D-IC electric-thermal simulation and management. In Proceedings of the 2018 IEEE International Conference on Computational Electromagnetics (ICCEM), Chengdu, China, 26–28 March 2018; p. 18182967. [Google Scholar]
  32. Chiu, H.C.; Hsieh, R.H.; Wang, K.; Jang, J.; Yu, C. The heat transfer characteristics of liquid cooling heat sink with micro pin fins. Int. Commun. Heat Mass Transf. 2017, 86, 174–180. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of the 3D integrated chip structure with embedded cooling micro-channels.
Figure 1. Schematic diagram of the 3D integrated chip structure with embedded cooling micro-channels.
Micromachines 13 00918 g001
Figure 2. Schematic diagram of 3D model.
Figure 2. Schematic diagram of 3D model.
Micromachines 13 00918 g002
Figure 3. Geometry model of microchannel heat sink.
Figure 3. Geometry model of microchannel heat sink.
Micromachines 13 00918 g003
Figure 4. Model verification: (a) model reliability verification; (b) calculation residual curve.
Figure 4. Model verification: (a) model reliability verification; (b) calculation residual curve.
Micromachines 13 00918 g004
Figure 5. Flowchart of the optimization scheme.
Figure 5. Flowchart of the optimization scheme.
Micromachines 13 00918 g005
Figure 6. The legend of “condition–flow distribution–temperature” model.
Figure 6. The legend of “condition–flow distribution–temperature” model.
Micromachines 13 00918 g006
Figure 7. Schematic diagram of neural network configuration.
Figure 7. Schematic diagram of neural network configuration.
Micromachines 13 00918 g007
Figure 8. (a) Fitting diagram of BP neural network training results; (b) prediction output of BP neural network.
Figure 8. (a) Fitting diagram of BP neural network training results; (b) prediction output of BP neural network.
Micromachines 13 00918 g008
Figure 9. Intergenerational optimization trajectory diagram.
Figure 9. Intergenerational optimization trajectory diagram.
Micromachines 13 00918 g009
Figure 10. The isotherm diagrams corresponding to the chips before and after optimization. (a) Case 1 before optimization. (b) Case 1 after optimization. (c) Case 2 before optimization. (d) Case 2 after optimization. (e) Case 3 before optimization. (f) Case 3 after optimization. (g) Case 4 before optimization. (h) Case 4 after optimization.
Figure 10. The isotherm diagrams corresponding to the chips before and after optimization. (a) Case 1 before optimization. (b) Case 1 after optimization. (c) Case 2 before optimization. (d) Case 2 after optimization. (e) Case 3 before optimization. (f) Case 3 after optimization. (g) Case 4 before optimization. (h) Case 4 after optimization.
Micromachines 13 00918 g010
Figure 11. Changes in temperature at lateral nodes of the chip before and after flow optimization: (a) case 1; (b) case 3.
Figure 11. Changes in temperature at lateral nodes of the chip before and after flow optimization: (a) case 1; (b) case 3.
Micromachines 13 00918 g011
Table 1. Chip operating conditions.
Table 1. Chip operating conditions.
Chip ConditionCore 1Core 2Core 3Core 4Core 5Core 6
Case 1RunningNot runningNot runningRunningRunningRunning
Case 2RunningNot runningRunningRunningRunningNot running
Case 3Not runningNot runningNot runningNot runningRunningRunning
Case 4Not runningNot runningRunningRunningRunningRunning
Table 2. Chip operating conditions.
Table 2. Chip operating conditions.
Chip ConditionFlow DistributionMaximum TemperatureResidual Value
Case 1 after optimization29:29:42363.38 K2.63 K
Case 1 before optimization1:1:1366.01 K
Case 2 after optimization25:48:27363.29 K2.63 K
Case 2 before optimization1:1:1365.92 K
Case 3 after optimization14:29:57354.5 K6.06 K
Case 3 before optimization1:1:1360.56 K
Case 4 after optimization14:43:43364.41 K4.63 K
Case 4 before optimization1:1:1369.04 K
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Zhang, J.; Xie, Z.; Lu, Z.; Li, P.; Xi, K. Research on Intelligent Distribution of Liquid Flow Rate in Embedded Channels for Cooling 3D Multi-Core Chips. Micromachines 2022, 13, 918. https://doi.org/10.3390/mi13060918

AMA Style

Zhang J, Xie Z, Lu Z, Li P, Xi K. Research on Intelligent Distribution of Liquid Flow Rate in Embedded Channels for Cooling 3D Multi-Core Chips. Micromachines. 2022; 13(6):918. https://doi.org/10.3390/mi13060918

Chicago/Turabian Style

Zhang, Jian, Zhihui Xie, Zhuoqun Lu, Penglei Li, and Kun Xi. 2022. "Research on Intelligent Distribution of Liquid Flow Rate in Embedded Channels for Cooling 3D Multi-Core Chips" Micromachines 13, no. 6: 918. https://doi.org/10.3390/mi13060918

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop