Next Article in Journal
Experimental and Numerical Analysis of the Residual Stresses in Seamed Pipe in Dependence on Welding and Metal Forming
Next Article in Special Issue
Characterization of the Internal Stress Evolution of an EB-PVD Thermal Barrier Coating during a Long-Term Thermal Cycling
Previous Article in Journal
A Fast Design Method of Anisotropic Dielectric Lens for Vortex Electromagnetic Wave Based on Deep Learning
Previous Article in Special Issue
Stress Component Decoupling Analysis Based on Large Numerical Aperture Objective Lens, an Impractical Approach
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Raman Characterization of the In-Plane Stress Tensor of Gallium Nitride

1
Department of Mechanics, School of Mechanical Engineering, Tianjin University, Tianjin 300072, China
2
Tianjin Key Laboratory of Modern Engineering Mechanics, Tianjin 300072, China
*
Authors to whom correspondence should be addressed.
Co-first authors.
Materials 2023, 16(6), 2255; https://doi.org/10.3390/ma16062255
Submission received: 20 January 2023 / Revised: 8 March 2023 / Accepted: 9 March 2023 / Published: 10 March 2023
(This article belongs to the Special Issue Experimental Mechanics of Micro-Nano Scale Spectroscopy)

Abstract

:
Experimental characterization of the in-plane stress tensor is a basic requirement for the development of GaN strain engineering. In this work, a theoretical model of stress characterization for GaN using polarized micro-Raman spectroscopy was developed based on elasticity theory and lattice dynamics. Compared with other works, the presented model can give the quantitative relationship between all components of the in-plane stress tensor and the measured Raman shift. The model was verified by a calibration experiment under step-by-step uniaxial compression. By combining the stress characterization model with the expanding cavity model, the in-plane residual stress component field around Berkovich indentation on the (0001) plane GaN was achieved. The experimental results show that the distributions of the stress components, which significantly differed from the distribution of the Raman shift, were closely related to the GaN crystal structure and exhibited a gradient along each crystal direction.

1. Introduction

Gallium nitride (GaN) is regarded as one of the representatives of the third generation of semiconductor materials due to its fascinating intrinsic properties, such as a wide direct band gap (3.4 eV), strong binding energies and excellent thermal stability and conductivity [1,2], which are widely employed in light-emitting diodes (LEDs), high-electron-mobility transistors and high-temperature, high-frequency and high-power semiconductor devices [3,4,5]. Semiconductor devices using GaN materials and related strain engineering require the introduction of state- and size-controllable stress in the GaN layer in the manufacturing process to regulate device functions and to improve the quality and reliability of the devices. Therefore, it is necessary to accurately measure and analyze the complex stress state of GaN materials.
Micro-Raman spectroscopy (MRS) is an effective method to achieve high-resolution [6,7] and nondestructive [8,9,10] detection of stress in materials. Early studies of light-scattering behavior in condensed matter physics found that the Raman spectra of a variety of crystal materials were affected by stress/strain. De Wolf et al. [11,12] gave a simplified quantitative relationship between the Raman shift and stress in single-crystal silicon (Si) and characterized the stress introduced by different processes in a variety of microelectronic structures based on MRS. Lei and Kang et al. [13,14] applied MRS to systematically analyze the characterization model and distribution law of residual stress in porous silicon. Xu et al. [15] investigated the interfacial mechanical parameters of graphene by MRS, and discovered for the first time that there were significant spatial/time scale effects on these interfacial mechanical parameters. Zhao et al. [16,17] carried out experimental studies on the crack extension and fracture toughness of graphene and the interfacial mechanical behavior of bilayer graphene using MRS.
In recent years, with the development of GaN semiconductor devices, a series of advances have been made in research on the Raman stress characterization of GaN materials. For example, Briggs et al. [18] gave a relationship between the Raman shift and strain of each mode of GaN and a solution formula for the deformation potential constants of each mode based on linear deformation potential theory. Davydov et al. [19] obtained specific values of deformation potential constants of the partial mode for GaN based on the bulk Grueneisen parameter of the Raman shift of mode during hydrostatic compression under the condition of disregarding the effect of shear strain. Darakchieva et al. [20] explored the relationship between the anisotropic strain of GaN and the mode deformation potential by combining the elliptical polarization method, and calculated the specific value of the deformation potential constants of the mode associated with the tangential strain. Schustek et al. [21] constructed a linear set of equations for the Raman shift–strain relationship for different modes by linearly simplifying the Raman shift–strain equation. Amilusik et al. [22] gave a simplified model of the stress characterization for GaN using the property of the doubly degenerate E1 and E2 modes under anisotropic strain.
Based on the above basic research results, Amilusik et al. [22] characterized the residual stress field of ammonothermal grown GaN on substrates in lateral and vertical direction. Hossain et al. [23] analyzed the residual stress in GaN films on Si substrates of different sizes and determined that the stress state of GaN films could be modulated by varying the space size of the Si substrate. Wang et al. [24] investigated the effect of high temperature and mechanical stress on the breakdown voltage of GaN devices and found that both temperature and mechanical stress reduced the performance of the devices by decreasing the breakdown voltage. Park et al. [25] characterized the stress state of GaN films on different substrates, revealing the potential of lithium silicate as an ideal substrate. Chai et al. [26] analyzed the gradient of in-plane residual stress existing in gallium nitride/silicon carbide (GaN/SiC) microstructures of different sizes and found that the residual stress in the GaN film is proportional to the residual stress in the SiC buffer layer and that the stress state in the GaN film can be regulated by modulating the stress state in the SiC layer.
In the published work, the characterization model for Raman stress analysis of GaN could only give the analytical relationship between the sum of the principal stresses and the Raman shift. Moreover, the previous model did not consider the effect of each stress component and could not provide detailed information about the stress state. To address the above problems, this paper developed a model for the stress characterization of GaN based on polarized Raman spectroscopy and established an analytical relationship between the stress component and the measured polarized Raman shift. Our derived model was verified by a calibration experiment to realize the accurate characterization of the complex stress field on the GaN surface.

2. Materials and Experiments

The sample used in this paper was an undoped N-GaN (negative-gallium nitride) single crystal (Galactic Semiconductor Technology Co., Ltd., Anhui, China). The sample parameters are listed as follows: thickness of 0.374 mm, crystal plane pointing to the (0001) plane, main reference plane of the ( 1 1 - 00 ) plane, dislocation density < 2 × 106 cm−2 and etch pit density < 1.5 cm−2. The 2-inch GaN sample was cut into several rectangular-shaped samples of 10 mm × 2 mm and 10 mm × 10 mm in length and width, respectively, using a scribing machine.
In this paper, uniaxial compression experiments were performed on GaN samples (10 mm × 2 mm × 0.374 mm) using a miniature in situ mechanics tester (CARE IBTC-300S, Care Measurement & control Co., Ltd., Tianjin, China), as shown in Figure 1a. The displacement resolution and load resolution of the mechanics tester were approximately 0.1 μm and 0.1 mN, respectively. The compression experiment was performed with a step loading of 5 N and loaded to 70 N. The Raman scattering signal from the sample surface was acquired three times after each loading using a laser confocal micro-Raman spectrometer (WITEC Alpha 300R, WITec Co., Ulm, Germany), as shown in Figure 1b. The spectral resolution and spectral stability of the Raman spectrometer were approximately 0.8 cm−1 and ±0.02 cm−1, respectively. The spatial resolution of the displacement stage attached to the Raman spectrometer was approximately 50 nm. When acquiring the Raman scattering signal, the spectrometer parameters were set as follows: 50× objective (numerical aperture, N.A. = 0.55), laser wavelength of 532 nm, laser power of 20 mW, exposure time of 3 s, grating of 1800 L/mm and parallel polarization configuration (polarization directions of the incident laser parallel to the polarization direction of the scattered light).
Nanoindentation experiments were performed on a GaN sample (10 mm × 10 mm × 0.374 mm) using a nanoindentation instrument (Agilent Nano Indenter G200, Agilent Technology Inc., Santa Clara, CA, USA), as shown in Figure 1c. The displacement resolution and load resolution of the nanoindentation instrument were approximately 0.01 nm and 50 nN, respectively. A Berkovich indenter with a radius of curvature of 50 nm was used to squeeze the GaN (0001) surface vertically at room temperature, with one side of the indenter parallel to the ( 1 1 - 00 ) plane of the sample. The nanoindentation experimental parameters are listed as follows: loading rate of 10 nm/s, allowable drift rate of 0.55 nm/s and maintenance of the maximum load state for 10 s before unloading. The final indentation depth of the nanoindentation experiment was 1300 nm. Then, a Raman mapping experiment was performed around the indentation. The Raman mapping experimental parameters are listed as follows: 100 × objective (N.A. = 0.90), laser wavelength 532 nm, output power of the laser device 20.0 mW (the actual laser power reaching the sample surface in the experiment was about 6.3 mW), exposure time 1 s, grating 1800 L/mm and parallel polarization configuration. The mapping area was a 50 μm × 50 μm square area centered on the indentation, with horizontal and vertical scan steps of 0.5 μm. The schematic is shown in Figure 2.

3. Analysis and Discussion

3.1. Model

GaN crystals have a wurtzite structure (as shown in Figure 3) and belong to the hexagonal system. Its lattice group is C6v4, and the basic unit cell is composed of four atoms. According to the factorial group analysis, the following relationship exists for the optical phonon modes of wurtzite GaN at the Γ point in the Brillouin zone [27]:
Γ o p t = Γ 1 + Γ 4 + Γ 5 + Γ 5 = A 1 ( z ) + 2 B + E 1 ( x , y ) + 2 E 2
where the A1, E1 and E2 (E2low and E2high) modes are Raman active and the B mode is silent. Due to the macroscopic electric field associated with the relative atomic displacement of the longitudinal modes, the A1 and E1 modes are split into longitudinal optical (LO) components and transverse optical (TO) components [28].
Amilusk’s study showed that the E1(TO) and E2high modes are sensitive to biaxial stress in the plane perpendicular to the c-axis, the A1(TO) mode is sensitive to uniaxial stress in the direction parallel to the c-axis and the E2low mode is rather insensitive to biaxial stress and hydrostatic compression [22]. Figure 4 shows a typical Raman spectrum of the (0001) plane GaN we measured in the vertical backscattering configuration. The characteristic peak corresponding to the A1(TO), A1(LO), E2low and E2high modes is observed. The characteristic peak corresponding to the E1 mode is not observed, as the E1 mode is forbidden due to the Raman selection rule in the vertical backscattering configuration of the (0001) plane. Since the signal intensity of the E2high mode is higher than that of the E2low mode, we chose the E2high mode to establish an analytical relationship between the Raman shift and the stress component.
The general process of establishing the relationship between the Raman shift and stress is shown in Figure 5 [29].
Expanding the lattice dynamics equation along the Cartesian coordinate system and setting the determinant of its coefficients equal to zero, the characteristic equation of lattice dynamics is obtained:
| ε u v K u v 11 λ ε u v K u v 12 ε u v K u v 31 ε u v K u v 21 ε u v K u v 22 λ ε u v K u v 32 ε u v K u v 31 ε u v K u v 32 ε u v K u v 33 λ | = 0 , u , v = 1 ,   2 ,   3
where εuv is the component of the strain tensor ε and Kuvij (i, j = 1, 2, 3) is a component of the mode variable state tensor K, which is used to describe the change in the elastic constant caused by strain. According to the generalized Hooke’s law, there is a linear relationship between stress and strain in the case of small deformation:
ε = S σ
where σ is the stress tensor and S is the elasticity tensor. Introducing Equation (3) to Equation (2), the eigenvalues λk (k = 1, 2, 3) of the characteristic equation of lattice dynamics can be solved. For the eigenvalues λk, there exists the relationship λ k =   ω k 2   ω 0 2 , where ω 0 and ω k are the Raman shifts of the Raman vibration mode in the stress-free state and stressed state, respectively. In the case of small deformation, the Raman shift increment Δ ω k is much smaller than ω 0 , so the following relationship exists:
Δ ω k = ω k ω 0 ω k 2 ω 0 2 2 ω 0 = λ k 2 ω 0
In the actual measurement process, the intensity of each characteristic peak depends on the Raman selection rule, that is, the Raman intensity I of the Raman vibrational mode is related to the Raman tensor and the polarization vector of the incident laser and scattered light, as shown in Equation (5):
I = Q k I k = Q k | e I T R k e S | 2 , k = 1 , 2 , 3
where Q is a constant determined by the scattering law of the sample, the optical properties and the experimental equipment; e I and e S are the polarization vectors of the incident laser and scattered light, respectively; and R k is the Raman tensor of the k mode. The actual measured Raman shift Δ ω obs after the deformation is a linear combination of the mode Raman shift Δ ω k within the same characteristic peak weighted by its respective contributions to the total scattered intensity:
Δ ω o b s = k = 1 3 Δ ω k I k k = 1 3 I k
For GaN, the sample coordinate system (overlapping with the crystal coordinate system) was established with the [ 11 2 - 0 ] direction as the x-axis, the [ 1 - 100 ] direction as the y-axis and the [0001] direction as the z-axis. Since the E2 mode of GaN is doubly degenerate [22], the characteristic equation of lattice dynamics is expressed as:
| a E 2 ( ε x + ε y ) + b E 2 ε z + c E 2 ( ε x ε y ) λ 2 c E 2 ε x y 2 c E 2 ε x y a E 2 ( ε x + ε y ) + b E 2 ε z c E 2 ( ε x ε y ) λ | = 0
where a E 2 , b E 2 and c E 2 are the phonon deformation potential constants of the E2 mode [19,20]. Combining Equations (4) and (7), the following relationship is obtained:
{   Δ ω 1 = [ a E 2 ( ε x + ε y ) + b E 2 ε z + c E 2 ( ε x ε y ) 2 + 4 ε x y 2 ] / 2 ω 0   Δ ω 2 = [ a E 2 ( ε x + ε y ) + b E 2 ε z c E 2 ( ε x ε y ) 2 + 4 ε x y 2 ] / 2 ω 0
The Raman tensor corresponding to the E2 mode is [30]:
R 1 = ( 0 d 0 d 0 0 0 0 0 ) , R 2 = ( d 0 0 0 d 0 0 0 0 )
where d is a constant that depends on the Raman polarization of the sample.
The measured GaN surface is in the state of in-plane stress:
σ = [ σ x σ y   0   τ   0   0 ] T
where σx and σy are the normal stress components of the stress tensor σ in the sample coordinate system along the x direction and y direction, respectively, and τ is the shear stress component in the x-y plane. Combining Equations (3) and (10), the stress–strain equation for GaN in the in-plane stress state is obtained:
[ ε x ε y ε z ε x y ε y z ε z x ] = S σ = [ S 11 S 12 S 13 S 12 S 11 S 13 S 13 S 13 S 33 S 44 S 44 S 66 ] [ σ x σ y 0 τ 0 0 ] = [ S 11 σ x + S 12 σ y S 12 σ x + S 11 σ y S 13 ( σ x + σ y ) S 44 τ 0 0 ]
By combining Equations (3), (8), (9) and (11), the relationships between the stress component and the Raman shift of (0001) plane GaN in the polarization configuration is obtained:
{   HH   Δ ω o b s = 1.233 ( σ x + σ y ) ( 1.554 cos 4 α ) ( σ x σ y ) 2 + 21.475 τ 2   HV   Δ ω o b s = 1.233 ( σ x + σ y ) + ( 1.554 cos 4 α ) ( σ x σ y ) 2 + 21.475 τ 2
where HH and HV are the polarization directions of the incident laser, parallel and perpendicular, respectively, to the polarization direction of the scattered light, and α is the angle of polarization of the incident laser.

3.2. Calibration Experiment

In this paper, uniaxial compression experiments were conducted using GaN samples to verify the above model. In the stress-free state, the Raman wavenumber of the E2high mode we measured is 568.2 cm−1, which is close to the value measured by others [31]. The Raman shift of the E2high mode is the difference between the Raman wavenumber when the E2high mode is stressed and that when it is stress-free. For the experimental data analysis, we used the three Raman spectra acquired at each load value to calculate the Raman shift separately and averaged them as average Raman shift values. The stress values obtained from the step-loading measurement and the average Raman shift values of the E2high mode at this stress value were plotted as the horizontal and vertical coordinates of the experimental data graph, and then the slope (Raman shift–stress coefficient) was obtained by linear fitting to the experimental measurement points, as shown in Figure 6. From the fitted results, the Raman shift–stress coefficient of the (0001) plane GaN in the uniaxial stress state is −2.69 cm−1/GPa. Based on the relationship between the in-plane stress and the Raman shift of (0001) plane GaN in the HH configuration in Equation (12), the Raman shift–stress coefficient of the E2high mode in the same stress state is −2.79 cm−1/GPa. The comparison shows that the relative error between the experimental results and the theoretical results is less than 3.6%, so the experimental results better verify the correctness of our derived theoretical model.
Other scholars had also measured the value of the Raman shift–stress coefficient by experiments. Demangeot et al. [32] gave a value of −2.9 cm−1/GPa for the Raman shift–stress coefficient, which is closer to our experimental result. This is because the stress state in this work is consistent with the stress state of this paper (uniaxial compressive stress state). The value of the Raman shift–stress coefficient measured by Kisielowski et al. [33] is −4.2 cm−1/GPa, which differs from our experimental result. The reason is that the stress state of the measured sample, as well as some material constants used in Raman stress model, are different from those in this paper. Kozawa et al. [34] obtained a value of −6.2 cm−1/Gpa for the Raman shift–stress coefficient, which is much larger than that obtained in this work. The main reason is that the Olsen and Ettenberg model used in Kozawa’s work establishes the relationship between the film stress and the bending deformation based on the numbers of material and structural idealizations. It is not applicable to multilayer problems with unknown or variable elastic parameters or thickness or stress state. The sample in this work had a buffer layer neglected by the authors. Kisielowski et al. [33] showed that the buffer layer affects the residual stress state in the GaN layer.

3.3. Raman Shift–Stress Relationship around the Indentation

The study by Yoffe et al. showed that the stress around the indentation is consistent with the expanding cavity model constructed based on the cylindrical coordinate system [35,36,37,38]. Figure 7a gives a microphotograph of a nanoindentation on the (0001) plane GaN sample, and Figure 7b shows the sample coordinate system, crystal coordinate system and cylindrical coordinate system established at the surface center of the nanoindentation sample. In the cylindrical coordinate system, the z direction coincides with the axial direction of the cylindrical coordinate system in the (0001) plane, r denotes the radial direction and its anticlockwise angle with the x-axis is the tangential direction φ.
Based on the expanding cavity model, each component of the in-plane stress state at any point around the indentation is expressed as follows [37]:
σ r r = 4 B r r r 3 ( ν r φ 2 ) ,   σ φ φ = 4 B φ φ r 3 ( 1 2 ν φ r ) ,   σ r φ = 0 B r r = 0.102 G r z f φ φ P / H 3 π ( 1 2 ν φ r ) ,   B φ φ = 0.102 G z φ f φ φ P / H 3 π ( 1 2 ν φ r )
where r, φ and z denote the radial direction, tangential direction and axial direction, respectively, under the cylindrical coordinate system; σrr is the radial stress component, σφφ is the tangential stress component and σ is the shear stress component; ν and νφr are Poisson’s ratios and Grz and G are the shear moduli, which can be calculated using the elasticity tensor S in the cylindrical coordinate system; Brr and Bφφ are functions characterizing the degree of material bulging caused by plastic deformation near the indentation; fφφ is a function characterizing the nonconservative change in volume after plastic deformation of the material near the indentation; P is the peak load applied to the indenter; and H is the Vickers hardness of the crystal. Since the radial shear stress component is 0, the stress at any point around the indentation given by the expanding cavity model is actually in a state of non-equal biaxial stress, and the directions of each principal stress are known. The ratio of the radial stress component to the tangential stress component is:
σ r r σ φ φ = G r z ( ν r φ 2 ) G z φ ( 1 2 ν φ r )
From the definition of Poisson’s ratio and the shear modulus, it follows that:
ν r φ = S 12 S 11 ; ν φ r = S 21 S 22 ; G r z = 1 S 66 ; G z φ = 1 S 55
where S 11 , S 12 , S 21 , S 22 , S 55 and S 66 are the components of the elasticity tensor S in the cylindrical coordinate system.
Combining Equations (14) and (15), and substituting the material parameters of the crystal plane, the ratio of the radial to the tangential stress component is obtained as follows:
σ r r σ φ φ | ( 0001 ) = ( 13.616 + cos 2 φ ) ( 33 cos 4 φ ) ( 13.616 cos 2 φ ) ( 5.77 + cos 4 φ )
Combined with the rotation axis equation of the stress component and Equation (12) in this paper, the relationship between the measured Raman shift of the E2 mode of GaN in the HH configuration and σrr and σφφ in the cylindrical coordinate system is obtained:
Δ ω obs = 1.233 ( σ φ φ + σ r r ) ( 2.297 cos 4 α ) ( 1.458 cos 4 φ ) ( σ φ φ σ r r ) 2
Combining Equation (16) with Equation (17), the relationship between each stress component and the Raman shift at any position in the region around the GaN indentation is obtained as follows:
σ φ φ = { ( 57.143 + 5.976 cos 2 φ 4.198 cos 4 φ ) ( 13.616 cos 2 φ ) ( 5.77 + cos 4 φ ) + ( cos 4 α ) ( 608.556 + 31.287 cos 2 φ 2.311 cos 2 φ cos 4 φ ) ( 13.616 cos 2 φ ) ( 5.77 + cos 4 φ ) 1.458 cos 4 φ } - 1 Δ ω obs σ r r = { ( 57.143 + 5.976 cos 2 φ 4.198 cos 4 φ ) ( 13.616 + cos 2 φ ) ( 33 cos 4 φ ) ( cos 4 α ) ( 608.556 + 31.287 cos 2 φ 2.311 cos 2 φ cos 4 φ ) ( 13.616 + cos 2 φ ) ( 33 cos 4 φ ) 1.458 cos 4 φ } - 1 Δ ω obs

3.4. Distribution of Residual Stress Component around the Indentation

In this paper, a Raman mapping experiment was performed on the region around the indentation of the GaN sample. The Raman peak of the E2high mode was fitted using the Lorenz function, and the experimental data were analyzed by calculating the Raman shift of the E2high mode. The distribution of the Raman shift of the E2high mode around the (0001) plane indentation is shown in Figure 8. The distribution diagram of the Raman shift shows that there is a region of increasing shift near the indentation with a certain directionality. Specifically, the Raman shift significantly increases at the center of the indentation along the [ 2 1 - 1 - 0 ], [ 11 2 - 0 ] and [ 1 - 2 1 - 0 ] directions and decreases along the direction away from the center of the indentation with a gradient profile similar to that of a hexagonal system profile. The distribution of the values of the Raman shift shows that the values are all positive, indicating that the residual stress field is dominated by compressive stress. The extreme value of the Raman shift appears on the right side of the vertical side of the indentation near the center, which is approximately 4.0 cm−1. The Raman shifts along the [ 2 1 - 1 - 0 ], [ 11 2 - 0 ] and [ 1 - 2 1 - 0 ] directions show obvious gradients, where the Raman shifts along the [ 2 1 - 1 - 0 ] and [ 1 - 2 1 - 0 ] directions slowly decay and those along the [ 11 2 - 0 ] direction decay at a faster rate. The Raman shift basically decays to 0 cm−1 in most regions far from the indentation center at 20 μm, that is, the sample tends to be stress-free in these regions. From the overall distribution of the Raman shift, the distribution is symmetric along the [ 2 1 - 1 - 0 ], [ 11 2 - 0 ] and [ 1 - 2 1 - 0 ] directions.
By substituting the measured Raman shift into Equation (18), the distribution of the residual stress components in the region around the indentation of the (0001) plane GaN are obtained, as shown in Figure 9. The residual stress components have obvious distribution characteristics along the [ 2 1 - 1 - 0 ], [ 1 - 2 1 - 0 ], [ 1 1 - 00 ] and [ 11 2 - 0 ] directions, indicating that the distribution trend is related to the lattice structure of the crystalline material. Relatively, the gradient of stress variation along the [ 1 1 - 00 ] and [ 11 2 - 0 ] directions is more obvious. The distribution of stress values indicates that σrr is negative (indicating compressive stresses) and that σφφ is positive (indicating tensile stresses). The extreme values of σrr and σφφ appear on the right side of the vertical side of the indentation near the center, which are approximately −4.20 GPa and 0.90 GPa, respectively. At the same point of the sample, the value of σrr is several times larger than that of σφφ, further confirming the dominance of residual compressive stresses on the sample surface.
According to the distributions of σrr and σφφ in Figure 9, the distributions of normal stresses σx and σy and shear stress τ on the (0001) plane GaN in the rectangular coordinate system can be obtained by using the rotation axis equation, as shown in Figure 10. It should be noted that σz was not considered when measuring the free surface of GaN. The distribution trend of the normal stresses in the region around the indentation is related to the lattice structure of the crystalline material. Both of them have positive and negative values. Specifically, the values of σx along the [ 2 1 - 1 - 0 ], [ 1 - 2 1 - 0 ] and [ 1 1 - 00 ] directions are positive, and the values along the [ 11 2 - 0 ] direction are negative. The values of σy along the [ 2 1 - 1 - 0 ], [ 1 - 2 1 - 0 ] and [ 11 2 - 0 ] directions are positive, and the values along the [ 1 1 - 00 ] direction are negative. At the proximal end of the indentation, σx and σy are mainly distributed along the [ 1 1 - 00 ] and [ 11 2 - 0 ] directions, and both have less variation in the values along the [ 11 2 - 0 ] direction. At the distal end of the indentation, σx and σy are mainly distributed along the [ 2 1 - 1 - 0 ], [ 11 2 - 0 ] and [ 1 - 2 1 - 0 ] directions. The values of σrr and σφφ show a distinct gradient along the [ 2 1 - 1 - 0 ] and [ 1 - 2 1 - 0 ] directions. The values of the two stress components converge to 0 GPa in most areas 20 μm from the indentation center. According to the distribution characteristics of the stress value, the two stress components are symmetrical about the [ 1 1 - 00 ] and [ 11 2 - 0 ] directions. For the shear stress, it has positive and negative values.
As we previously mentioned, most scholars have applied the relationship between the Raman shift and the sum of the principal stresses for stress characterization of GaN. A comparison of Figure 8 with Figure 9 shows that there is a large difference between the distribution of the Raman shift and the distribution of the stress components, which illustrates the limitations of the previous analytical ideas of stress characterization. If we also use the previous method, then we will obtain a stress distribution consistent with the distribution of the Raman shift in Figure 8. This approach will not only give the incorrect trend of stress distribution but also increase the numerical level of the overall stress value with the calculation error up to 174%. In addition, that method cannot give the directions of true stress at any point of the sample. Using our derived model for stress characterization in combination with the expanding cavity model, it is possible to give the stress values and stress directions at any point in the region around the indentation on the (0001) plane GaN. Therefore, the advantage of the stress characterization model around the indentation obtained by considering the stress state on the sample surface is that it can give the true stress information and then realize the fine characterization of the stress component field.
The above discussion proved that it should be meaningful to consider the influence of stress state when establishing the Raman stress model of GaN. If the stress state of the sample was not considered, that is, using the relationship between the Raman shift and the sum of the principal stresses to analyze the stress distribution trend, it would not only give an incorrect conclusion, but also achieve an error of stress value up to 174%. Meanwhile, based on the model presented in this work, the decoupling of the stress components of the (0001) plane GaN can be realized under the condition that the principal stress direction is known, which will be beneficial for further studies to realize the complete decoupling of the stress components of GaN in any arbitrary stress state. At the same time, the GaN stress characterization model proposed in this paper can solve most of the engineering problems. This is because in practical engineering problems, there usually exist positions with known stress states (such as free boundaries) which can be used as boundary conditions. Then the continuity relationship given by elastic mechanics should be used to derive the principal stress value and its direction at the analyzed location, thus realizing stress decoupling. Of course, such an analysis is required to combine Raman measurement with the mathematical or simulation modeling of elastic mechanics, hence it is not a direct measurement. Moreover, this paper can provide an analytical idea for the establishment of a Raman stress model of other material. In addition, the GaN samples we used in our experiments were not intentionally doped, so we did not consider the effect of doping in the analysis of stress, nor in the theoretical model.

4. Conclusions

This work, by establishing the GaN stress characterization model under polarized Raman, presented the relationship between the Raman shift and each stress component. Compared with the traditional method using the relationship between the Raman shift and the sum of the principal stresses, the proposed model considered the influence of stress state. The Raman shift–stress coefficient was achieved by the calibration experiment. By combining the stress characterization model with the expanding cavity model, the residual stress component field around the indentation was achieved based on Raman experiments in the HH configuration. The distributions of the residual stress components showed a close correlation with the crystal structure of the sample material, furtherly verified the importance of considering the stress state. This work would be beneficial to the strain engineering and stress characterization of GaN materials and their related devices.

Author Contributions

Conceptualization, M.S.; methodology, B.H.; investigation, M.S.; validation, B.H., S.H. and Y.Z.; formal analysis, Y.C.; writing—original draft preparation, B.H. and M.S.; writing—review and editing, C.Q. and W.Q. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the National Natural Science Foundation of China (Grant Nos. 12125203, 12021002 and 11827802).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Bockowski, M.; Iwinska, M.; Amilusik, M.; Lucznik, B.; Fijalkowski, M.; Litwin-Staszewska, E.; Piotrzkowski, R.; Sochacki, T. Doping in bulk HVPE-GaN grown on native seeds-highly conductive and semi-insulating crystals. J. Cryst. Growth 2018, 499, 1–7. [Google Scholar] [CrossRef]
  2. Bockowski, M.; Iwinska, M.; Amilusik, M.; Fijalkowski, M.; Lucznik, B.; Scochacki, T. Challenges and future perspectives in HVPE-GaN growth on ammonothermal GaN seeds. Semicond. Sci. Technol. 2016, 31, 093002. [Google Scholar] [CrossRef]
  3. Iwinska, M.; Amilusik, M.; Fijalkowski, M.; Lucznik, B.; Scochacki, T.; Lucznik, B.; Grzanka, E.; Litwin-Staszewska, E.; Weyher, J.L.; Nowakowska-Siwinska, A.; et al. HVPE-GaN growth on GaN-based Advanced Substrates by Smart Cut (TM). J. Cryst. Growth 2016, 456, 73–79. [Google Scholar] [CrossRef]
  4. Islam, N.; Mohamed, M.F.P.; Khan, M.F.A.J.; Falina, S.; Kawarada, H.; Syamsul, M. Reliability, Applications and Challenges of GaN HEMT Technology for Modern Power Devices: A Review. Crystals 2022, 12, 1581. [Google Scholar] [CrossRef]
  5. Jones, E.A.; Wang, F.F.; Costinett, D. Review of Commercial GaN Power Devices and GaN-Based Converter Design Challenges. IEEE J. Emerg. Sel. Top. Power Electron. 2016, 4, 707–719. [Google Scholar] [CrossRef]
  6. Qiu, W.; Ma, L.L.; Wang, H.T.; Liang, R.R.; Zhao, Y.C.; Zhou, Y.S. Experimental Analyses on Multiscale Structural and Mechanical Properties of epsilon-Si/GeSi/C-Si Materials. Appl. Sci. 2018, 8, 2333. [Google Scholar] [CrossRef] [Green Version]
  7. Xu, C.C.; Yang, T.H.; Kang, Y.L.; Li, Q.Y.; Xue, T.; Liechti, K.M.; Huang, R.; Qiu, W. Rate-Dependent Decohesion Modes in Graphene-Sandwiched Interfaces. Adv. Mater. Interfaces 2019, 6, 1901217. [Google Scholar] [CrossRef]
  8. Kim, J.H.; Yoo, W.S.; Han, S.M. Non-Destructive Micro-Raman Analysis of Si Near Cu Through Silicon Via. Electron. Mater. Lett. 2017, 13, 120–128. [Google Scholar] [CrossRef]
  9. Naresh-Kumar, G.; Edwards, P.R.; Batten, T.; Nouf-Allehiani, M.; Vilalta-Clemente, A.; Wilkinson, A.J.; Le Boulbar, E.; Shields, P.A.; Starosta, B.; Hourahine, B.; et al. Non-destructive imaging of residual strains in GaN and their effect on optical and electrical properties using correlative light–electron microscopy. J. Appl. Phys. 2022, 131, 075303. [Google Scholar] [CrossRef]
  10. Starman, L.; Coutu, R. Stress monitoring of post-processed MEMS silicon microbridge structures using Raman spectroscopy. Exp. Mech. 2012, 52, 1341–1353. [Google Scholar] [CrossRef]
  11. De Wolf, I. Raman spectroscopy: About chips and stress. Spectrosc. Eur. 2003, 15, 6–13. [Google Scholar]
  12. De Wolf, I. Stress measurements in Si microelectronics devices using Raman spectroscopy. J. Raman Spectrosc. 1999, 30, 877–883. [Google Scholar] [CrossRef]
  13. Kang, Y.L.; Qiu, Y.; Lei, Z.K.; Hu, M. An application of Raman spectroscopy on the measurement of residual stress in porous silicon. Opt. Lasers Eng. 2005, 43, 847–855. [Google Scholar] [CrossRef]
  14. Li, Q.; Qiu, W.; Tan, H.Y.; Guo, J.G.; Kang, Y.L. Micro-Raman spectroscopy stress measurement method for porous silicon film. Opt. Lasers. Eng. 2009, 48, 1119–1125. [Google Scholar] [CrossRef]
  15. Xu, C.C.; Xue, T.; Qiu, W.; Kang, Y.L. Size Effect of the Interfacial Mechanical Behavior of Graphene on a Stretchable Substrate. ACS Appl. Mater. Interfaces 2016, 8, 27099–27106. [Google Scholar] [CrossRef] [PubMed]
  16. Wang, Y.L.; Wang, Y.; Xu, C.; Zhang, X.W.; Mei, L.; Wang, M.; Xia, Y.; Zhao, P.; Wang, H.T. Domain-boundary independency of Raman spectra for strained graphene at strong interfaces. Carbon 2018, 134, 37–42. [Google Scholar] [CrossRef]
  17. Zhang, Z.L.; Zhang, X.W.; Wang, Y.L.; Wang, Y.; Zhang, Y.; Xu, C.; Zou, Z.X.; Wu, Z.H.; Xia, Y.; Zhao, P.; et al. Crack propagation and fracture toughness of graphene probed by raman spectroscopy. ACS Nano 2019, 13, 10327–10332. [Google Scholar] [CrossRef]
  18. Briggs, R.J.; Ramdas, A.K. Piezospectroscopic study of the Raman spectrum of cadmium sulfide. Phys. Rev. B 1976, 13, 5518–5529. [Google Scholar] [CrossRef]
  19. Davydov, V.Y.; Averkiev, N.S.; Goncharuk, I.N.; Nelson, D.K.; Nikitina, I.P.; Polkovnikov, A.S.; Smirnov, A.N.; Jacobson, M.A. Raman and photoluminescence studies of biaxial strain in GaN epitaxial layers grown on 6H–SiC. J. Appl. Phys. 1997, 82, 5097–5102. [Google Scholar] [CrossRef]
  20. Darakchieva, V.; Paskova, T.; Schubert, M.; Arwin, H.; Paskov, P.P.; Monemar, B.; Hommel, D.; Heuken, M.; Off, J.; Scholz, F.; et al. Anisotropic strain and phonon deformation potentials in GaN. Phys. Rev. B 2007, 75, 195217. [Google Scholar] [CrossRef] [Green Version]
  21. Schustek, P.; Hocker, M.; Klein, M.; Simon, U.; Scholz, F.; Thonke, K. Spectroscopic study of semipolar (11–22)-HVPE GaN exhibiting high oxygen incorporation. J. Appl. Phys. 2014, 116, 163515. [Google Scholar] [CrossRef]
  22. Amilusik, M.; Wlodarczyk, D.; Suchocki, A.; Bockowski, M. Micro-Raman studies of strain in bulk GaN crystals grown by hydride vapor phase epitaxy on ammonothermal GaN seeds. Jpn. J. Appl. Phys. 2019, 58, SCCB32. [Google Scholar] [CrossRef]
  23. Hossain, T.; Wang, J.; Frayssinet, E.; Chenot, S.; Leroux, M.; Damilano, B.; Demangeot, F.; Durand, L.; Ponchet, A.; Rashid, M.J.; et al. Stress distribution of 12 μm thick crack free continuous GaN on patterned Si(110) substrate. Phys. Status Solidi 2013, 10, 425–428. [Google Scholar] [CrossRef]
  24. Wang, T.; Wang, B.M.; Haque, A.; Snure, M.; Heller, E.; Glavin, N. Mechanical stress effects on electrical breakdown of freestanding GaN thin films. Microelectron. Reliab. 2018, 81, 181–185. [Google Scholar] [CrossRef]
  25. Park, B.G.; Kumar, R.S.; Moon, M.L.; Kim, M.D.; Kang, T.W.; Yang, W.C.; Kim, S.G. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon. J. Cryst. Growth 2015, 425, 149–153. [Google Scholar] [CrossRef]
  26. Chai, J.; Walker, G.; Wang, L.; Massoubre, D.; Lacopi, A. Effect of SiC-on-Si template residual stress on GaN residual stress and crystal quality. Phys. Status Solidi 2016, 253, 824–828. [Google Scholar] [CrossRef]
  27. Davydov, V.Y.; Kitaev, Y.E.; Goncharuk, I.N.; Smirnov, A.N.; Graul, J.; Semchinova, O.; Uffmann, D.; Smirnov, M.B.; Mirgorodsky, A.P.; Evarestov, R.A. Phonon dispersion and Raman scattering in hexagonal GaN and AlN. Phys. Rev. B 1998, 58, 12899–12907. [Google Scholar] [CrossRef] [Green Version]
  28. Darakchieva, V.; Paskova, T. Nitrides with Nonpolar Surface; Wiley: Weinheim, Germany, 2008; pp. 219–252. [Google Scholar]
  29. Qiu, W.; Ma, L.L.; Li, Q.; Xing, H.D.; Cheng, C.L.; Huang, G.Y. A general metrology of stress on crystalline silicon with random crystal plane by using micro-Raman spectroscopy. Acta Mech. Sin. 2018, 34, 1095–1107. [Google Scholar] [CrossRef]
  30. Loudon, R. The Raman Effect in Crystals. Adv. Phys. 2001, 50, 813–864. [Google Scholar] [CrossRef]
  31. Harima, H. Properties of GaN and related compounds studied by means of Raman scattering. J. Phys. Condens. Matter 2002, 14, 967–993. [Google Scholar] [CrossRef]
  32. Demangeot, F.; Frandon, J.; Renucci, M.A.; Briot, O.; Gil, B.; Aulombard, R.L. Raman determination of phonon deformation potentials in α-GaN. Solid State Commun. 1996, 100, 207–210. [Google Scholar] [CrossRef]
  33. Kisielowski, C.; Kruger, J.; Ruvimov, S.; Suski, T.; Ager, J.W.; Jones, E.; Liliental-Weber, Z.; Rubin, M.; Weber, E.R.; Bremser, M.D.; et al. Strain-related phenomena in GaN thin films. Phys. Rev. B 1996, 54, 17745. [Google Scholar] [CrossRef] [Green Version]
  34. Kozawa, T.; Kachi, T.; Kano, H.; Nagase, H. Thermal stress in GaN epitaxial layers grown on sapphire substrates. J. Appl. Phys. 1995, 77, 4389. [Google Scholar] [CrossRef]
  35. Yoffe, E.H. Elastic stress fields caused by indenting brittle materials. Philos. Mag. A 1982, 46, 617–628. [Google Scholar] [CrossRef]
  36. Cook, R.F.; Pharr, G.M. Direct Observation and Analysis of Indentation Cracking in Glasses and Ceramics. J. Am. Ceram. Soc. 1990, 73, 787–817. [Google Scholar] [CrossRef]
  37. Porporati, A.A.; Pezzotti, G. Polarized Raman spectroscopy clarifies the effect of crystal anisotropy on elastic stress fields developed on the surface of silicon single-crystal. Phys. Status Solidi A 2011, 208, 1093–1098. [Google Scholar] [CrossRef]
  38. Chandra, A.; Wang, K.; Huang, Y.; Subhash, G.; Miller, M.H.; Qu, W. Role of Unloading in Machining of Brittle Materials. J. Manuf. Sci. Eng. 2000, 122, 452–462. [Google Scholar] [CrossRef]
Figure 1. (a) Miniature in situ mechanics tester; (b) laser confocal micro-Raman spectrometer; (c) nanoindentation instrument.
Figure 1. (a) Miniature in situ mechanics tester; (b) laser confocal micro-Raman spectrometer; (c) nanoindentation instrument.
Materials 16 02255 g001
Figure 2. Schematic of the Raman mapping experiment around the indentation on the (0001) plane GaN.
Figure 2. Schematic of the Raman mapping experiment around the indentation on the (0001) plane GaN.
Materials 16 02255 g002
Figure 3. Schematic of the structure of wurtzite, where a1, a2, a3 and c denote the crystal orientations.
Figure 3. Schematic of the structure of wurtzite, where a1, a2, a3 and c denote the crystal orientations.
Materials 16 02255 g003
Figure 4. Raman spectrum of the (0001) plane GaN.
Figure 4. Raman spectrum of the (0001) plane GaN.
Materials 16 02255 g004
Figure 5. Theoretical framework of the Raman shift–stress relationship model.
Figure 5. Theoretical framework of the Raman shift–stress relationship model.
Materials 16 02255 g005
Figure 6. Raman shift of the E2high mode for different stress in the calibration experiment.
Figure 6. Raman shift of the E2high mode for different stress in the calibration experiment.
Materials 16 02255 g006
Figure 7. (a) Microphotograph of a nanoindentation on the (0001) plane; (b) sample, crystal and cylindrical coordinate systems on the (0001) plane.
Figure 7. (a) Microphotograph of a nanoindentation on the (0001) plane; (b) sample, crystal and cylindrical coordinate systems on the (0001) plane.
Materials 16 02255 g007
Figure 8. XY mapping of the Raman shift of the E2high mode around the indentation of the (0001) plane.
Figure 8. XY mapping of the Raman shift of the E2high mode around the indentation of the (0001) plane.
Materials 16 02255 g008
Figure 9. Distributions of (a) radial stress σrr and (b) tangential stress σφφ.
Figure 9. Distributions of (a) radial stress σrr and (b) tangential stress σφφ.
Materials 16 02255 g009
Figure 10. Distributions of (a) normal stress σx, (b) normal stress σy and (c) shear stress τ.
Figure 10. Distributions of (a) normal stress σx, (b) normal stress σy and (c) shear stress τ.
Materials 16 02255 g010
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Han, B.; Sun, M.; Chang, Y.; He, S.; Zhao, Y.; Qu, C.; Qiu, W. Raman Characterization of the In-Plane Stress Tensor of Gallium Nitride. Materials 2023, 16, 2255. https://doi.org/10.3390/ma16062255

AMA Style

Han B, Sun M, Chang Y, He S, Zhao Y, Qu C, Qiu W. Raman Characterization of the In-Plane Stress Tensor of Gallium Nitride. Materials. 2023; 16(6):2255. https://doi.org/10.3390/ma16062255

Chicago/Turabian Style

Han, Bowen, Mingyuan Sun, Ying Chang, Saisai He, Yuqi Zhao, Chuanyong Qu, and Wei Qiu. 2023. "Raman Characterization of the In-Plane Stress Tensor of Gallium Nitride" Materials 16, no. 6: 2255. https://doi.org/10.3390/ma16062255

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop