Next Article in Journal
Viscoelastic Polyurethane Foams for Use as Auxiliary Materials in Orthopedics
Next Article in Special Issue
Passive Photonic Integrated Circuits Elements Fabricated on a Silicon Nitride Platform
Previous Article in Journal
Internal Abiotic Components That Influence the Development of Biocorrosion on ETICS Plasters
Previous Article in Special Issue
Titanium Nitride as a Plasmonic Material from Near-Ultraviolet to Very-Long-Wavelength Infrared Range
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Review: Inductively Coupled Plasma Reactive Ion Etching of Silicon Carbide

by
Katarzyna Racka-Szmidt
1,*,
Bartłomiej Stonio
2,3,
Jarosław Żelazko
1,
Maciej Filipiak
3 and
Mariusz Sochacki
2
1
Łukasiewicz Research Network—Institute of Microelectronics and Photonics, Al. Lotników 32/46, 02-668 Warsaw, Poland
2
Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa Str., 00-662 Warsaw, Poland
3
Center for Advanced Materials and Technology CEZAMAT, Warsaw University of Technology, 19 Poleczki Str., 02-822 Warsaw, Poland
*
Author to whom correspondence should be addressed.
Materials 2022, 15(1), 123; https://doi.org/10.3390/ma15010123
Submission received: 24 November 2021 / Revised: 12 December 2021 / Accepted: 20 December 2021 / Published: 24 December 2021
(This article belongs to the Special Issue Trends in Electronic and Optoelectronic Materials)

Abstract

:
The inductively coupled plasma reactive ion etching (ICP-RIE) is a selective dry etching method used in fabrication technology of various semiconductor devices. The etching is used to form non-planar microstructures—trenches or mesa structures, and tilted sidewalls with a controlled angle. The ICP-RIE method combining a high finishing accuracy and reproducibility is excellent for etching hard materials, such as SiC, GaN or diamond. The paper presents a review of silicon carbide etching—principles of the ICP-RIE method, the results of SiC etching and undesired phenomena of the ICP-RIE process are presented. The article includes SEM photos and experimental results obtained from different ICP-RIE processes. The influence of O2 addition to the SF6 plasma as well as the change of both RIE and ICP power on the etching rate of the Cr mask used in processes and on the selectivity of SiC/Cr etching are reported for the first time. SiC is an attractive semiconductor with many excellent properties, that can bring huge potential benefits thorough advances in submicron semiconductor processing technology. Recently, there has been an interest in SiC due to its potential wide application in power electronics, in particular in automotive, renewable energy and rail transport.

1. Introduction

Silicon carbide (SiC) is a prosperous material for different electronic and photonic applications. The physico-chemical properties of SiC, such as high thermal conductivity, resistance to high temperatures, high breakdown voltage, high hardness and high chemical resistance, make it an attractive material for high-power, high-frequency or high temperature semiconductor devices. These features, in combination with SiC polytypism, make SiC an attractive material for optoelectronic applications due to the refractive index specific to a given SiC polytype. The complex microsystems, including MEMS (Micro-Electro-Mechanical Systems) or MOEMS (Micro-Opto-Electro-Mechanical Systems) based on SiC can be used, among others, in pressure sensors, bolometers, microresonators, micromotors, fuel atomizers, gas turbine rotors, UV radiation sensors, high-temperature sensors, and sensors for chemically aggressive environments ([1] and Refs therein). SiC is also used in the production of energy and communication components. According to the “Digitimes Research” report [2], SiC components have a great potential in electric power supplies, especially in high-power ones, and by 2025 they will be responsible for 25% of power semiconductors used in the automotive industry, as SiC components are used, for example, in the construction of electric vehicles (EV). By 2025, the total global sales of EVs are projected to reach 10 million units, accompanied by an increase in demand for SiC-based drive components [2]. It is assumed that in addition to automotive applications, there will be a significant increase in the use of SiC components in photovoltaics, vehicle charging infrastructure and rail transport [3]. Currently, however, the main problems are the high cost of these components and their limited availability.
Although the inductively coupled plasma reactive ion etching (ICP-RIE) method has been known for many years, it is still under development and refinement in terms of etching of various bulk materials, layers or complex multilayer structures. The method is used, for example, for the production of electronic and photonic devices. It is a dry etching method carried out in the plasma of chemically active gases and/or noble gases and enables a selective etching of: metals, semiconductors, polymers, dielectrics, oxide and nitride materials, as well as photosensitive organic materials (photoresists) [4,5,6,7]. The plasma etching method is commonly used in the etching processes of materials characterized by high hardness and chemical inertness, e.g., SiC, GaN, diamond or cubic boron nitride (c-BN) [4].
The ICP-RIE etching is used in processes of a SiC surface preparation (cleaning and “smoothing”), in the production of Schottky diodes [8,9], as well as in certain stages of MEMS production [10]. It is worth mentioning that plasma etching techniques such as CCP-RIE (capacitively coupled reactive ion etching) or ECR-RIE (electron cyclotron resonance-driven reactive ion etching) can also be applied to a controlled modification of surface roughness (including sidewalls surfaces) of semiconductor devices, e.g., GaN/InGaN LEDs on SiC substrates [11]. The ICP system can generate a high-density plasma much more easily under low pressure (~0.2–10 Pa) than CCP-RIE (9–100 Pa), and its density (~1016–1017 m−3) becomes over an order of magnitude higher than that of the CCP-RIE. In turn, the area of operating pressure vs. plasma density for ICP and ECR-RIE is common to some extent, i.e., in the plasma density range of 1016–1018 m−3, but the ICP can generate a high-density plasma under higher pressure (up to 10 Pa) than the ECR-RIE.
Obtaining the appropriate roughness as a result of the microstructure produced is to increase the luminous efficiency of such LEDs [11]. The efficiency increase by roughness is connected with the generation of many scattering obstacles (i.e., active sites) by attack of the plasma that are randomly generated in their spacious extent and configuration. By this, preferred directions with glancing angles are avoided. The deliberate increase of surface roughness is used not only in the construction of light-emitting diodes or solar cells, but also in biomedical devices. Rough surfaces increase the efficiency of optoelectronic devices and develop (enlarge) the surface area for chemical reactions [12].
The plasma etching can be one of the stages in the process of heterostructures fabrication, such as SiC/SiO2, SiC/Si and SiC/glass, by direct adhesive bonding and annealing at T ≤ 200 °C, for potential electronic, optical, mechanical and biomedical applications [13]. ICP-RIE serves also to obtain: MESA structures of TLM layout in the production of ohmic contacts for SiC [14,15], MOS structures (metal-oxide-semiconductor structures) [16,17], MOSFETs (metal-oxide-semiconductor field effect transistors) [8,18], SiC nanostructures [4,19,20,21] and photoconductive semiconductor switches (PCSs) [22].
This article is a review on etching of SiC using inductively coupled plasma. The ICP-RIE is still the only technique that enables reproducible etching processes with high anisotropy, selectivity and etching rate. This article presents the principles of the ICP-RIE method and representative results of SiC etching. Moreover, undesired phenomena of the ICP-RIE process were indicated, which should be avoided in technology of semiconductor devices. The article is enriched with our SEM photos and experimental results obtained from various ICP-RIE processes.
The samples used in this study were commercial 4H-SiC wafers with polished Si-face. An ICP reactor “PlasmaPro100” (Oxford Instruments Ltd.) equipped with two 13.56 MHz RF power supplies was used. The experiments were carried out on a lower (sample) 6-inch electrode covered with a Si/SiO2 wafer. The etching characteristics were studied depending on RIE source power (25–300 W), ICP source power (1750–2500 W) and total gas flow, with chamber pressure fixed at 7 mTorr or 10 mTorr. Most of the experiments were performed using Cr (~0.1–0.3 µm) etch masks, which were deposited by RF magnetron sputtering. The effect of O2 addition to the SF6 plasma was also investigated to improve the etching rate and/or selectivity.
Scanning electron microscopy (ZISS AURIGA 60) and contact stylus profilometry (“Dektak 150 Surface Profiler”, Veeco Instrument) were used to measure the etching profiles, surface morphology and selectivity.

2. The ICP-RIE Method

The ICP-RIE method offers etching conditions to obtain deep anisotropic, isotropic or directional etching of patterns. A compromise between the etching rate and anisotropy can be achieved by modifying of the etching conditions, for example by changing the composition of the working gas, appropriate selection of process parameters or the mask material selection [4]. Etching of 3D spatial structures with the ICP-RIE method allows for obtaining walls of etched patterns with different slopes, which is important in the production of structures for various electronic applications, e.g., PIN diodes, Schottky diodes, avalanche photodiodes, MOSFETs (metal-oxide-semiconductor field effect transistors), JFETs (junction field effect transistors), SITs (static inductive transistors), as well as in MMICs (monolithic microwave integrated circuits).
The ICP-RIE etching technology of structures entails the need to produce patterns in the form of vertical, smooth walls forming: holes, deep and narrow trenches or islands [23,24]—the so-called MESA structures (exemplary various etched patterns are shown in Figure 1). Etched parts are used, among others, for interconnections and insulation in integrated circuits, diffractive structures in optoelectronic systems, or for creation of complex MEMS. Large-angle bevel structures (40°–80°) are applicable for diodes, transistors and switches, while small-angle bevel structures (optimally ~7°) are used in APDs (avalanche photodiodes) [23].
One of the highest aspect ratio (18.5:1) trench has been presented by Dowling et al. [25], where the effect of ICP etch parameters on the etch rate and trench profiles has been investigated using popular Ni masking. RIE process itself is also very useful for high-aspect-ratio profiles. Recent advances in reactive ion etching for application in high-aspect-ratio microfabrication have been presented by Huff [26].

2.1. Principles of the ICP-RIE Method

The ICP-RIE method uses the phenomena occurring in the plasma and the interaction of ions with the etched material. Reactive plasma is created as a result of a glow discharge in the etching gas (plasma excitation is initiated by an electromagnetic field oscillating usually at a frequency of 13.56 MHz [27]), as a consequence of collisions of electrons, accelerated in the electric field with electrically neutral atoms. Plasma consists of chemically active radicals (uncharged atoms or molecules), ionized atoms, excited atoms, undissociated atoms (molecules) and free electrons.
The main mechanisms of interaction with the removed material in the ICP-RIE are chemical and physical ones [4]. The chemical mechanism is based on the reaction of free radicals with the surface of the etched material to produce of volatile products of this reaction that are pumped out of the reactor. The physical mechanism is based on the high energy ion bombardment and knocking out atoms or agglomerates of atoms of the etched material [4,27].
One of the basic parameters of the ICP-RIE process is the selectivity of etching, defined as the quotient of the etching rate of different materials in the same process, e.g., VSiC:VNi = 100:12 (for SF6 plasma etching [1]), where: VSiC—the etching rate of SiC; VNi—the etching rate of the nickel mask used in the process. A high selectivity etching is required, e.g., in the production of MEMS or MOEMS spatial structures.
The ICP-RIE is a dry etching process that is generally less selective than the wet etching process carried out in aqueous acid and lye solutions. This is due to the participation of two mechanisms in the ICP-RIE process—physical and chemical one, as mentioned above. In the ICP-RIE process, the balance between physical and chemical etching can be controlled by the etching parameters, e.g., plasma gas composition, pressure, temperature of the etched sample, supplied power, and by utilization of mask. The masking material should be selected by analyzing both chemical and sputtering processes in order to avoid a possible micromasking effect.
As for the metal mask, the examples in the literature show that the most promising mask in terms of high selectivity and anisotropy of etched profiles is a mask made of copper. It has been observed that the etching rate of a copper mask in SF6 plasma is the lowest compared to the etching rate of other metallic masks, e.g., aluminum or nickel [28]. In turn, the nickel mask is better than the chrome mask, as shown by the results of SiC etching in the SF6/O2 plasma [23]. The selectivity obtained in etching processes with the use of both masks were: 100:1—for SiC with the Ni mask, and 40:1—for SiC with the Cr mask. The use of non-metallic masks, including photoresist or SiO2 can be useful in shallow etching, where patterns with small inclination walls (~45°–50°) can be obtained [29,30]. In the case of the SiO2 mask, after the etching of trench structures in the SF6/O2 plasma, a SiC surface with carbon clusters and non-volatile CFx compounds was observed [17]. This led to the conclusion that the SiO2 mask was poorly suited for ICP-RIE etching of SiC structures.
The second important parameter of the ICP-RIE process is an etching directivity [31], defined by the so-called anisotropy factor A, expressed by the Formula (1):
A = 1 v s v p
where vs—is the etching rate in the direction tangent to the etched surface, and vp—is the etching rate in the perpendicular direction. In the case of an isotropic etching, the etching rates in both directions are comparable. A dry plasma etching is isotropic, unless a polymer that blocks the chemical removal of material (the so-called inhibitor) is deposited on the walls of the pattern, e.g., in the plasma process commonly known as the Bosch process, consisting of repeated sequences of etching and passivation steps [4]. In case of a dry anisotropic etching, where the tangential etching rate is close to zero, it is possible to obtain almost vertical MESA structures, i.e., island-shaped structures.

2.2. Description of the ICP-RIE Reactor

The cathode powered by the RF generator (13.56 MHz) in the ICP-RIE reactor (in Figure 2) has a smaller surface area than the anode (a lower electrode). The coil placed in the reactor generates a magnetic field that narrows the area of the plasma generated by the RF source, preventing electrons from scattering on walls of the chamber [7]. The etched material is placed on a holder—the lower electrode powered by a second RF generator, which attracts and accelerates the ions from the plasma. The etched material has a negative plasma potential, with an absolute value of up to several hundred volts. It is a constant component of the electrode voltage, induced in the high-frequency glow discharge and the so-called DC self-bias voltage (USB). The DC self-bias voltage together with plasma potential (UPP) are in turn the DC bias voltage (UDC) [32], as it is expressed by the Formula (2):
U D C = ( U S B + U P P )
The large electric field generated near the electrode surface causes a significant acceleration of the ions in the area of its interaction. The ions can obtain energies above 50 eV.
The ICP-RIE reactor enables the RF power control of both RF generators. The RF power affecting the plasma flux is called the inductive power (PICP), and the RF power to accelerate the plasma ions, and generate the polarization voltage of the etched material is called RF bias power (PRIE). The power delivered from both sources influences the etching rate [22,28,33]. Theoretical considerations [34] showed that regardless of the type of etched material and used working gases, the etching rate in the ICP method is directly related to the self-polarization voltage induced by RF power. This was also confirmed by the experimental works, e.g., on SiC [22,28,33,35], in which the increase in the DC bias voltage increases the etching rate.
Figure 2. The scheme of the ICP-RIE reactor. Reprinted from Ref. [36].
Figure 2. The scheme of the ICP-RIE reactor. Reprinted from Ref. [36].
Materials 15 00123 g002

3. Etching of SiC with Different Plasmas

Due to the high chemical resistance of SiC (as a result of a strong covalent bond between Si and C atoms), the ICP-RIE dry etching method is basically the only one that allows obtaining patterns in this material [7]. In the literature, various fluoride plasmas have been used in the ICP-RIE processes of SiC, and also mixtures of fluoride gases with oxygen, argon or helium (see Table 1). There are also examples of the use of other plasmas, e.g., based on chlorine (in Table 1). Fluoride plasma etching processes allow for higher etching rates compared to chloride plasma etching processes [6,23], hence the vast majority of scientific papers concern the use of fluorine-based plasma in ICP-RIE processes.
The plasma etching processes presented in the literature were aimed at optimizing the conditions allowing for increasing the etching rate and obtaining, from the point of view of the subsequent production of the device or the microsystem structure, the “favorable” surface of the etched SiC. In the case of the surface, it was important to avoid damage after etching and also to keep the chemical composition unchanged (i.e., to avoid chemical residues after etching). In general, smooth surfaces increase the performance of microelectronic devices, therefore, after etching, the surface roughness should be kept at a minimum [12]. A low-pressure plasma etching process (~4 mTorr) with a variation in the bias power at fixed plasma conditions can benefit from more anisotropic etch profiles and less plasma damages to the material, which means practically constant surface roughness for different bias power [32].
Examples in the literature [38,42,43] showed that residues on the SiC surface after etching, including fluorine may affect the properties of the produced electronic devices, e.g., Schottky diodes and others. Jiang et al. [42] discussed the possibility of the formation of various types of C-F chemical bonds on the SiC surface: ionic, semi-ionic (i.e., chemical bonds that are neither completely ionic nor completely covalent) and covalent ones. It has been observed that the content of C-F covalent bonds increases with RF power and etching rate. This changes the electrical properties of the surface which becomes less conductive as the etching rate increases.
Choi et al. [54] showed that the structural quality of SiC samples had the negligible effect on results of plasma etching. For SiC samples with different average concentration of standard defects in the form of micropipies, similar etching rates were obtained and similar shapes of the etched MESA profiles were observed. Moreover, the surface roughness of the individual samples measured by AFM (Atomic Force Microscopy) before and after the etching process and expressed by RMS value (root–mean–square of the surface) practically did not differ from each other.

3.1. The Influence of Etching Parameters on SiC Surface Morphology, Etching Rate and Angle Profile

In the literature, the influence of the etching process parameters, for example: plasma composition (change in gas mixture composition), gas flow, pressure in the reactor chamber, distance between electrodes, the applied RF power or temperature on the results of the etching process has been investigated. Among others, the influence of these parameters on the etching rate of SiC and on the SiC surface morphology has been studied (see Table 1). It has been established that the etching rate of SiC also depends on the temperature of the substrate holder and reaches its maximum (1.28 μm/min) at temperatures close to 150 °C [45]. Attempts were also made to increase the rate of SiC etching by the initial modification of the studied samples by irradiation them with a laser prior to the etching process. Huang et al. [46] obtained an etching rate of 9365 Å/min, which was increased by 117.18% over the etching rate of the untreated sample, after irradiation of 6H-SiC samples with 800 nm-femtosecond laser at a pulse duration of 120 fs and repetition frequency of 1 kHz. The presence of the SiO2 top layer and the increased surface roughness resulting from the modification of samples’ surfaces with the laser beam could contribute to a higher etching rate.
The surface quality is an important factor in technology of electronic devices [5,8,36], and its roughness has a significant influence, among others, on the channel mobility of the MOS transistor [8,58]. It is also worth mentioning that the plasma etching process itself may be one of factors influencing the surface current in p-i-n SiC diodes with MESA structure, which in turn determines the leakage current of these structures [59].
The experimental results of the SiC etching process in C2F6 + O2 plasma indicate a close correlation between the surface morphology of the etched SiC and (UDC) [32]. It was observed that the roughness of the surface obtained in etching process increases when the distance between the plasma source and the etched sample is reduced, and the power of the RF generator as well as UDC are increased [32]. The surface roughness is also clearly influenced by the temperature of the substrate during etching process. It was shown that change in the temperature of the substrate holder in the range from 100 to 300 °C can lead to sharp decrease in the root mean square roughness from 153 to 0.7 nm [45].
In the ICP-RIE processes based on fluorinated gas and oxygen the following chemical reactions (3)–(6) are involved:
Si + xF → SiFx,      x = 1–4
C + xF → CFx
C + yO → COy,      y = 1–2
SiC + xF + yO → SiFx + CFx + COy
where F atoms are the main etchant species reacting with Si and/or C atoms, either through pure chemical reaction, or ion assisted chemical reaction or both [49].
Jiang et al. [44] and Osipov et al. [48] observed that the addition of Ar (optimally ~30%) to the SF6 + O2 gas mixture allows to obtain smoother etched surfaces, as well as increases the etching rate (up to ~500 nm/min), in relation to SiC etched without argon. Moreover, at fixed plasma conditions, the etching rate was also increased with increasing RF power and pressure in the reactor chamber. As the gas flow was increased, the etching rate was initially increased and then decreased. This was explained by the chemical interaction mechanism, in which the different flow rate of the gas used in the process affects the residence time of radicals on the surface of the etched SiC, and it also affects the speed of removal of the resulting volatile reaction products. Faster gas flow helps to remove volatile SiFx and CFx compounds faster, however, until a certain optimal flow rate is exceeded (40 cm3/min SF6, 10 cm3/min O2 and 20 cm3/min Ar), i.e., when the duration of stay of radicals on the surface is shorter than the time needed for a chemical reaction in etching process. It was also noted that the addition of Ar to the SF6 + O2 plasma had no significant effect on the etching profiles of SiC structures. It is worth mentioning that the SiC etching in a pure Ar atmosphere allows for very low etching rates, e.g., 19 nm/min—for RF power of 200 W and pressure in the chamber of 30 mTorr [37].
It was experimentally established that the dependance of the etching rate of silicon carbide on the percentage of oxygen in the total gas mixture is non-linear [47]. It was observed that the addition of 20–25% oxygen for SiC etching in SF6 + O2 plasma is optimal for the highest etching rates [23,42,47]. In the process of chemical interaction of reactive fluorine ions with silicon, volatile SiFx (1 < x < 4) compounds are formed, and an addition of the oxygen to plasma increases the etching rate of the carbon-rich SiC surface layer as a result of the formation of volatile compounds in the form of CO, CO2 [42] and COF2 [39]. The presence of such a layer was confirmed in Auger electron spectroscopy (AES) studies for SiC etched by RIE method in various fluoride plasmas with addition of the oxygen (CBrF3 + O2, CHF3 + O2), including the SF6 + O2 plasma [35,41]. The carbon-rich surface layer has been found to constitute a “blocking layer” potentially limiting the etching rate [35,41]. This layer can be reduced by increasing the oxygen concentration and increasing UDC. In the papers [35,41] a combined physical and chemical etching model of SiC was proposed. In the first regime, the physical mechanism dominates, and the etching rate is influenced by UDC, while in the second one (after exceeding a certain critical value of DC bias voltage), the chemical mechanism dominates, and the etching rate depends mainly on the concentration of fluorine and oxygen, and on their reaction with silicon and carbon.
The same conclusion regarding the optimal amount of oxygen—20%—allowing for the highest SiC etching rate was made after the SiC etching process in CHF3 + O2 plasma [49]. Xia et al. [49] observed an increase in etching rate with increasing oxygen content, then its maximum value (35 nm/min) for 20% O2, and after that a sharp decrease almost to zero for 80% O2. The initial increase in the etching rate was due to the increase in oxygen addition, which also led to the increase in the number of broken C-F bonds. Consequently, the proportion of reactive F atoms responsible for etching process was increased. The subsequent decrease in the etching rate (with the amount of oxygen above 20%) could be related to the progressive (as the oxygen content increases) effect of “diluting” the concentration of F atoms in oxygen, as well as the possible formation of the SiOx type oxide layer on the etched surface, which acts as inhibitor [42,49]. Moreover, the authors of the paper [49] noticed that the increase in the amount of oxygen in the plasma deteriorates the quality of the etched surface. This was confirmed by the obtained values of the roughness coefficient (RRMS) where with increasing O2 fraction from 0% to 80%, there was an increase in RRMS from 1.31 to 2.34 nm. An increase in the SiC surface roughness along with an increase in oxygen content was also observed after etching processes in the Cl2 + O2 plasma [23]. The increase in SiC surface roughness may be related to the increased efficiency of the oxidation process along with the increase of the oxygen content in plasma [23,49]. Sung et al. [23] also noticed that in some situations the predominance of the oxygen in plasma may contribute to the improvement of the smoothness of the etched surface, e.g., it can remove the micromask (see phenomenon in Section 4.2) formed on the SiC surface by the erosion of the metal mask used in the process.
Due to the appropriate selection of the process parameters, including energy of the bombarding ion beam, it is possible to control the slope of side walls of the etched profiles [5,55]. Kim et al. [55] investigated the ICP-RIE process of SiC structures with a nickel mask etched in the NF3 + CH4 plasma. They determined the influence of the source power (acceleration of reactive ions), the so-called RF bias power, on UDC and the angle of side walls inclination (the so-called profile angle) of the etched profiles. The obtained experimental results allowed to conclude on the linear relationship between RF bias power and UDC, and that increasing the ion bombardment energy leads to decrease in the angle of side walls inclination. Additionally, reducing the angle is associated with an increase in the faceting of the mask, i.e., the increase of its flat surface area.
Obtaining the profiles with different wall inclination angles is also possible by the selection of the appropriate composition of the plasma used in the etching process [22,29]. Sung et al. [23] carried out a detailed analysis of the influence of various plasmas (as gas mixtures) on the obtained profiles, for which it was possible to obtain almost vertical walls, with an inclination angle of 87°. The SF6 + O2 plasma proved to be the most promising for etching almost vertical profiles and to achieve the highest etching rate of 3050 Å/min. The use of BCl3 plasma with addition of nitrogen (BCl3 + N2), for appropriately selected proportions of these gases, made it possible to obtain structures with an inclination angle ranging from 40° to 80°. When the Cl2 + O2 plasma was used (with different oxygen fraction), a smaller angle of inclination of the walls was obtained ranging from 7° to 17°.

4. Undesired Phenomena of the ICP-RIE Process

4.1. Trenching and Microtrenching Phenomena

It was found that the addition of oxygen to the SF6 plasma may contribute to the formation of unevenness in the form of trenches at the bottom of the SiC etched profiles. This unevenness arises as a result of secondary etching, which takes place with the participation of ions reflected from the side walls surfaces ([39] and Refs therein). Oxygen-based etching can form a SiFxOy layer, which has a greater tendency to accumulate an electric charge (and charge itself) compared to SiC. The increased concentration of ions (attracted by the charged SiFxOy layer) on the surface between side walls of the etched profile, as well as an increased proportion of ions reflected from surfaces of these walls leads to an increase of the rate of chemical reactions affecting the formation of a trench structure. The structure of the trench itself may additionally contain heterogeneity (Figure 3) depending on the incidence angle (angular distribution) of the beam of reactive ions and radicals [39].
In the case of etching by ICP-RIE method of MESA or trench structures, there was also observed unevenness on the walls of the etched profiles, the so-called “microtrenches” [5,29,33,53,56]—in Figure 4. They appeared, for example, when a distance between electrodes in the reactor is reduced [53], or as a result of processes with dominant physical mechanisms of etching [6,56]. The formation of the microtrench may also be accompanied with the presence of the tip of the microtrench (Figure 5). This effect is due to locally high ion bombardment rate at the bottom corner of the sidewall, and thus exhibits a higher etch rate than a plane surface. The tip of the microtrench is very undesirable as it can cause locally high electric field and degrade the breakdown characteristics of power devices. The microtrenching can be avoided, e.g., by adding oxide to a Cl2-based plasma. In this case, the sidewall passivation oxide layer can be formed, reflecting incident ions away from the corner [5].
For the etching processes with a dominant chemical etching mechanism, i.e., when using high PICP source power, the effect of “undercutting” of the walls of the obtained structures was observed [6,29,56]—in Figure 6.

4.2. Micromasking and Mask Opening Width Effects

During the etching process, as a result of the chemical reaction of plasma components with the etched surface, not only volatile compounds are formed, but also chemical compounds that can be re-deposited on the surface. Therefore, they can contribute to the occurrence of local heterogeneities in the chemical composition [34] and cause the effect of micromasking (Figure 7). In addition to non-volatile chemical compounds depositing on the etched surface, impurities present on the surface before the etching process (e.g., native oxides or dusts), or imperfections such as scratches of the surface may also be a source of micro-mask effect [12,21,60]. The phenomenon of micromasking was observed in the process of SiC etching as a result of aluminum mask erosion and formation of the non-volatile Al2O3 oxide [27]. The micro-mask effect was also observed for silicon, for which a very thin column structures (perpendicular to the etched surface) were formed during the etching process. In the literature, these structures are referred to “grass-like-structure” or “black silicon structure” [60]. By “black silicon structure” is meant a structure whose reflectance is close to zero [12].
Joo et al. in their paper [12] presented that the conditions of the plasma etching process, which produce the “black silicon structure” can be used in the process of controlled change of SiC surface roughness. This process is carried out by etching the Si/SiC structure in plasma, produced by depositing the Si overlayer (thickness of ~1 µm) on the SiC substrate, until the surface layer is completely etched. The Si layer is designated to initiate and restore the morphology of the “black silicon structure” on SiC, resulting in surface roughness. The degree of surface roughness of SiC can then be controlled by the duration of the etching process. The longer the etch time in plasma, the smoother the SiC surface.
Tanaka et al. [1] observed that addition of oxygen to the SF6 plasma may have the side effect of erosion of the metallic (nickel) mask used in the process, leading to the micro-mask effect, i.e., the formation of undesirable “grass-like” structures at the bottom of the etched profiles. Ni mask erosion was also noted by Li et al. [17] who investigated the trenches obtained in the process of etching of SiC with SF6 + O2 plasma with the use of various masks including SiO2, Ni, Ni/SiO2 and Ni/Al2O3. Microscopic (AFM) and spectroscopic (XPS) investigations of these structures’ surfaces after etching proved that the Ni/Al2O3 mask turned out to be the best one. For this mask, a trench structure was obtained with almost rectangular profile of the sidewall and without traces of metallic contamination. It is worth mentioning that the MOS structure made on a SiC sample etched with a Ni/Al2O3 mask [17] showed the best electrical properties, including critical breakdown electric field of 7.7 MV/cm. This value was much higher compared to the electrical properties of this type of MOS structures made on SiC samples etched with three other masks (SiO2, Ni, Ni/SiO2).
The micromasking effect may also occur when the etching process takes place under elevated pressure in the reactor chamber. Ekinci et al. [22] investigated the effect of process pressure on the etching rate and the morphology of 4H-SiC surface after etching in Cl2 + Ar + BCl3 plasma. The authors noticed that as the pressure in chamber increased, the etching rate decreased. The surface roughness of the etched samples also increased. The increase in pressure decreased the mean free path of interaction of plasma “particles” and increased the probability of their collisions, which in turn led to a decrease in plasma density. Taking into account the lower plasma density and the high SiC bonding energy, the etching rate tended to decrease. As the pressure increased from 8 mTorr to 30 mTorr, the etching rate decreased monotonically, which could be related to a decrease in the flux of reactive ions reaching the surface of the etched samples and/or a decrease in the amount of reactive Cl- ions in the plasma. The observed increase in surface roughness of etched samples, with increasing the pressure could, however, result from a decrease in the amount of reactive ions (with reduced kinetic energy as a result of ion–ion, ion–radical or ion–electron collisions) reaching the sample surface and slowing down the desorption process at the surface. Ekinci et al. [22] also noticed that addition of BCl3 to the Cl2 + Ar plasma improves the SiC post-etching surface morphology by reducing the surface roughness.
For SiC samples etched by ICP-RIE method with use of the Cl2 + O2 plasma it was observed that the addition of oxygen in the plasma may contribute to a change of the sidewall slant angle of etched structures and to the micromasking effect [5]. Tseng et al. presented in their paper [5] that the result of etching processes was also influenced by silicon or SiO2-coated silicon substrates which were used in the etching process as load wafers for transferring SiC samples to the process chamber. The use of these load wafers for a Cl2 + O2 plasma etching, in particular silicon wafer, promoted the micromasking effect. When using a silicon load wafer, the formation of SiC micropillars and SiOx micromasks was noted on the etched SiC samples. When using the SiO2-coated load wafer, the SiOx micromasking effect on SiC samples was still observed, but it was significantly reduced.
The influence of the width of the metal mask used in the etching process on the intensity of the micromasking effect, the so-called “mask opening width effect” was also reported in the literature [1,5]. Tanaka et al. [1] observed spike-shaped residues on the etched bottom surface of SiC trenches after SF6 + O2 etching and for the Ni mask opening width x in the range: 100 µm < x < 1 mm. The SiC trenches with a small pattern width were less susceptible to micromasking than those with a large pattern width [1,5], but an exception to this rule can be also found in the literature. The micromasking effect was observed by Tseng et al. [5] even for “narrow” trench structures, ~6 µm wide, after SiC etching in the Cl2 + O2 plasma. In the paper [1], the occurrence of the micromasking effect is explained by the balance of several factors, such as: the amount of reaction products, the ability to re-deposition on the etched side walls, the rate of evacuation of the reaction products and the mask opening width. In etching processes, there may be trench widths at which the first three above-mentioned factors balanced out, and the re-deposition of the reaction products on the etched bottom surfaces may occur when the mask opening width is between the balanced widths.
The mask opening width used in the etching process also affects the depth of the etched structures, which is known as the so-called “microloading effect”. This effect can be defined as a decrease in the etch depth together with a decrease in the mask opening width. The reason for this may be the narrow mask opening preventing the penetration of ions and diffusion of the reaction products [1]. Figure 8 presents the SEM photo, which shows the relationship between the mask opening width and the etched depths, and thus confirms the microloading effect.
It is obvious that the micromasking effect, which significantly deteriorates the surface quality of etched structures, is particularly undesirable in the construction of electronic devices. Hence, it is so important to select the appropriate parameters of the etching process to eliminate the formation of residual structures. The risk of their formation can be eliminated, for example, by increasing the pumping rate of the chamber, increasing the temperature of the etched sample, or by gradually changing the etching conditions according to the etching depth obtained [1,40].

5. Experimental Verification of Some Conclusions on ICP-RIE Etching of SiC and Some New Results

The etching rates and selectivities of SiC/Cr etching were investigated under various etching conditions. The etching rates of SiC and chromium mask, as well as, SiC/Cr selectivities were studied as a function of: (i) oxygen concentration (Figure 9, Figure 10 and Figure 11), (ii) applied RIE power (Figure 12, Figure 13 and Figure 14) and (iii) ICP power (Figure 15, Figure 16 and Figure 17). Selected experimental results obtained in this study are presented for the first time in the literature. In our ICP-RIE processes, the etching time was determined by the total etch time of the Cr mask.
Although SiC plasma etching with a SF6 + O2 gas mixture has been reported in many papers (see Section 3.1 of this article), there is no information on the effect of the addition of O2 to the SF6 plasma on the etching rate of the Cr mask used in processes, and on the selectivity of SiC/Cr etching. In this paper, we report such etching characteristics for the first time—in Figure 10 and Figure 11, respectively.
The characteristic of the SiC etching rate as a function of O2 in SF6 + O2 gas mixture obtained in our research (Figure 9) is consistent with those presented in literature [7,23,30,42,44]. Many reports indicate that SF6/O2 = 4:1 (20% O2) is required to obtain the best SiC etching rate in ICP [7,23,30,42,44]. Our results are consistent with literature reports, where the SiC etching rate with SF6 + O2 plasma increases slightly, reaches its maximum at around 20% of O2, and then decreases (probably due to a dilution effect) [30]. From our experiments it can also be concluded that the SiC etching rate in SF6 + O2 plasma can be increased by more than 10 % with optimal oxygen content of 20% compared to SiC-etching in pure SF6 plasma under similar conditions (Figure 9). The highest SiC etching rate ~487 nm/min was achieved in this study for 20% of O2 and for high gas flow: 20 sccm O2 and 80 sccm SF6. This SiC etching rate value is very closed to the SiC etching rate of ~500 nm/min reported by Jiang et al. [44] for etching in SF6/O2/Ar gas mixture with ~30% Ar as optimal concentration. It is also worth mentioning that when etching of SiC in a different plasma—C2F6 + O2—it was shown that the etching rate increases with the addition of more O2, reaches its maximum at around 60% of O2, and then decreases [52]. On the other hand, only a slight and monotonical increase in SiC etching rate was observed at 40% of O2 content by Sugiura et al. [41] for SiC etching in the CF4 + O2 mixture.
The increase in the etching rate of Cr mask with O2 content in SF6 + O2 gas mixture was observed (Figure 10), while the SiC/Cr selectivity showed a decrease with increasing oxygen content (Figure 11). In Figure 11 the best SiC/Cr selectivity (43) is observed for pure SF6. It is possible that increasing the amount of oxygen in the plasma causes an increase in chemical reactions—mainly between Cr and O2—and the formation of volatile etch products of Cr-O type, which are removed very quickly at our high flow rates. Jiang et al. [42] found that the relative concentration of reactive F ions decreases with increasing O2 in the gas mixture, so the reaction between F and SiC is limited by gas phase reactions. It can therefore be assumed that the dominant chemical reaction in the etching of the Cr mask occurs between oxygen and chromium. Different flow rates can affect the residence time of the reactive radicals on the etched surface as well as the rate of removal of volatile etch products. Higher flow rates assist in the rapid removal of such products [42,44].
Figure 12 and Figure 15 show that the SiC etching rate in the SF6 plasma can be improved by increasing the applied RIE power or ICP power, and it increases with them. Similar trends in the dependence of the SiC etching rate on the applied power have been reported in literature, e.g., for SF6 + O2, C2F6 + O2 or SF6 + He gas mixtures [7,22,30,41,42,44,52]. It should be noticed that in case of relationship between the SiC etching rate and ICP power, in literature have been reported results for lower ICP power range, i.e., below 1000 W [22,30,44,52]. Our investigations presented in this paper extend this ICP power range considerably up to 2500 W.
It can be explained that an increase in the RIE power enhances the effect of physical ion sputtering on the etched surface and thus promotes the etching rate (Figure 12), while the increase in the ICP power increases the density of reactive ions in the chamber, which may result in the increase in chemical reactions on the etched surface and thus the etching rate (Figure 15) [22,30,42,44]. In Figure 12 it can be seen that there is a threshold energy (the dependence of the etching rate does not pass through the origin) that must be reached before etching begins [22].
In this paper, for the first time, we report the influence of both the applied power (RIE and ICP) on the etching rate of the Cr mask used in processes (Figure 13 and Figure 16), and on the selectivity of SiC/Cr etching (Figure 14 and Figure 17). The increase in both Cr mask etching rate and SiC etching rate with increasing the RIE power (Figure 13 and Figure 12) can be explained that with higher RIE power the mean ion energy was higher, and therefore the Cr mask and SiC sputtering were more likely. Similar upward trends are observed for the Cr mask etching rate and SiC etching rate with the increase in the ICP power (Figure 15 and Figure 16), which may be related to the increased density of reactive ions in the chamber and, consequently, the increased chemical reactions on etched surfaces.
In Figure 14 is visible that SiC/Cr selectivity decreases exponentially with the increasing RIE power, and the best selectivity (~81) is observed at 25 W. It is worth noting that after the etching time of 119 min there was still a thick layer of Cr mask in etched MESA structures compared to the thickness of this mask (~297 nm) at the beginning of the etching process. Based on SEM measurements (Figure 18), the thickness of this Cr layer was estimated to be ~210 nm (Figure 18b). For RIE power of 25 W, the time needed to completely etch the Cr mask was very long and was estimated to be 424 min (Figure 19a). Thus, the SiC etching depth calculated for this time was ~24 µm (Figure 19b). For ICP power, a slight change in the SiC/Cr selectivity (Figure 17) is observed in the range of 1750–2500 W and the best selectivity is ~45 at 2250 W.

6. Conclusions

The etching of SiC by ICP-RIE method is influenced by many factors, which makes it difficult to achieve the desired results. Obtaining a fully repeatable and stable technology of the SiC etching with ICP-RIE method is associated with determination and optimization of process parameters which have a significant impact, among others, on the etching rate, surface morphology, sidewall inclination angle of MESA or trench structures, and the smoothness of the walls. Moreover, these parameters must not cause erosion of the mask material (i.e., not induce the phenomenon of micromasking), and also control a degree of the surface damage. These include the etching gas used (which may also be a multi-gas mixture), working gas flow, pressure in the reactor chamber, glow discharge excitation parameters (RF power applied), surface area of the etched material and the substrate temperature.
It is also important to find a balance between the physical and chemical mechanisms of the etching. This allows, among others, to optimize the anisotropy of etched patterns. The idea is to skillfully “control” the flux and energy of reactive ions to avoid sidewalls unevenness (i.e., phenomenon of microtrenching when the physical etching mechanism is dominant) and undercutting of sidewalls (when the chemical etching mechanism is dominant). The selection of appropriate etching conditions will allow for etching specific patterns in SiC with the accuracy required for applications in semiconductor devices technology. It should be noted that the control of the SiC etching process is necessary in extending the range of SiC applications in various electronic and optoelectronic devices.
The original results of dry etching with the use of chrome mask presented in Section 5 have been developed with the intention of producing of power devices in silicon carbide technology. The conducted research fully confirms the usefulness of the technology in the development of power devices for advanced power electronics. The dynamically growing production of micro- and nano- electromechanical systems (MEMS/NEMS) is a new challenge in the development of dry etching process due to higher etching rate while maintaining surface quality. For this reason, factors influencing the etching rate and surface quality are nowadays widely analyzed, including the content of oxygen and argon in the fluorine plasma, the composition of the fluorine plasma itself, and recently also the substrate temperature and other factors. The possibilities of using alternative masking materials other than copper (which is the superior masking material in terms of selectivity) are also being explored to ensure better manufacturing compatibility while improving the selectivity needed for very deep trenching. In deep trenching process, it is important to analyze all performance limiting phenomena resulting from the sputtering and re-deposition of masking material such as microtrenching and pillar formation. While in the technology of semiconductor devices some phenomena are to be ignored, in technologies focused on high-aspect-ratio shapes the issues of selection and treatment of masking material become critical for many applications.

Author Contributions

Conceptualization, K.R.-S.; formal analysis, K.R.-S.; investigation, K.R.-S., B.S. and J.Ż.; sample preparation, B.S., J.Ż. and M.F.; writing—original draft preparation, K.R.-S.; writing—review and editing, K.R.-S. and M.S.; project administration, M.S. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the National Centre for Research and Development under Research Grant Agreement TECHMATSTRATEG1/346922/4/NCBR/2017 for the project “Technologies of semiconductor materials for high power and high frequency electronics” and Ministry of Science and Higher Education from a subsidy for the maintenance and development of research potential.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Tanaka, S.; Rajanna, K.; Abe, T.; Esashi, M. Deep reactive ion etching of silicon carbide. J. Vac. Sci. Technol. B 2001, 19, 2173–2176. [Google Scholar] [CrossRef] [Green Version]
  2. Portal ElektronikaB2B.pl Web. Available online: https://elektronikab2b.pl/biznes/53088-do-2025-roku-udzial-podzespolow-sic-w-pojazdach-ev-osiagnie-25 (accessed on 7 January 2021). (In Polish).
  3. Portal ElektronikaB2B.pl Web. Available online: https://elektronikab2b.pl/biznes/53101-rynek-polprzewodnikow-sic-zwieksza-dynamike (accessed on 12 January 2021). (In Polish).
  4. He, B.; Yang, Y.; Yuen, M.F.; Chen, X.F.; Lee, C.S.; Zhang, W.J. Vertical nanostructure arrays by plasma etching for applications in biology, energy, and electronics. Nano Today 2013, 8, 265–289. [Google Scholar] [CrossRef]
  5. Tseng, Y.-H.; Tsui, B.-Y. Trenched 4H-SiC with tapered sidewall formed by Cl2/O2 reactive ion etching. J. Vac. Sci. Technol. A 2016, 34, 061305. [Google Scholar] [CrossRef]
  6. Wang, J.J.; Lambers, E.S.; Pearton, S.J.; Ostling, M.; Zetterling, C.-M.; Grow, J.M.; Ren, F.; Shul, R.J. ICP Etching of SiC. Solid State Electron. 1998, 42, 2283–2288. [Google Scholar] [CrossRef]
  7. Liu, R.; Wu, H.; Zhang, H.; Li, C.; Tian, L.; Li, L.; Li, J.; Wu, J.; Pan, Y. A dry etching method for 4H-SiC via using photoresist mask. J. Cryst. Growth 2020, 531, 125351. [Google Scholar] [CrossRef]
  8. Guy, O.J.; Lodzinski, M.; Teng, K.S.; Maffeis, T.G.G.; Tan, M.; Blackwood, I.; Dunstan, P.R.; Al-Hartomy, O.; Wilks, S.P.; Wilby, T.; et al. Investigation of the 4H-SiC Surface. Appl. Surf. Sci. 2008, 254, 8098–8105. [Google Scholar] [CrossRef]
  9. Tsui, B.-Y.; Cheng, J.-C.; Yen, C.-T.; Lee, C.-Y. Strong Fermi-level pinning induced by argon inductively coupled plasma treatment and post-metal deposition annealing on 4H-SiC. Solid State Electron. 2017, 133, 83–87. [Google Scholar] [CrossRef]
  10. Judy, J.W. Microelectromechanical systems (MEMS): Fabrication, design and applications. Smart Mater. Struct. 2001, 10, 1115–1134. [Google Scholar] [CrossRef] [Green Version]
  11. Franz, G. Surface roughening of SiC and Ga-containing semiconductors in reactive plasmas. Mater. Sci. Semicond. Process. 1999, 2, 349–357. [Google Scholar] [CrossRef]
  12. Joo, S.-J.; Kang, M.-S.; Bahng, W.; Koo, S.-M. Black SiC formation induced by Si overlayer deposition and subsequent plasma etching. Thin Solid Films 2011, 519, 3728–3731. [Google Scholar] [CrossRef]
  13. Kang, Q.; Wang, C.; Niu, F.; Zhou, S.; Xu, J.; Tian, Y. Single-crystalline SiC integrated onto Si-based substrates via plasma-activated direct bonding. Ceram. Int. 2020, 46, 22718–22726. [Google Scholar] [CrossRef]
  14. Lee, S.-K.; Zetterling, C.-M.; Östling, M.; Palmquist, J.-P.; Högberg, H.; Jansson, U. Low resistivity ohmic titanium carbide contacts to n- and p-type 4H-silicon carbide. Solid State Electron. 2000, 44, 1179–1186. [Google Scholar] [CrossRef]
  15. Downey, B.P.; Flemish, J.R.; Liu, B.Z.; Clark, T.E.; Mohney, S.E. Current-Induced Degradation of Nickel Ohmic Contacts to SiC. J. Electron. Mater. 2009, 38, 563–568. [Google Scholar] [CrossRef]
  16. Koo, S.-M.; Lee, S.-K.; Zetterling, C.-M.; Östling, M. Electrical characteristics of metal-oxide-semiconductor capacitors on plasma etch-damaged silicon carbide. Solid State Electron. 2002, 46, 1375–1380. [Google Scholar] [CrossRef]
  17. Li, J.; Cheng, X.; Wang, Q.; Zheng, L.; Shen, L.; Li, X.; Zhang, D.; Zhu, H.; Shend, D.; Yu, Y. Morphology improvement of SiC trench by inductively coupled plasma etching using Ni/Al2O3 bilayer mask. Mater. Sci. Semicond. Process. 2017, 67, 104–109. [Google Scholar] [CrossRef]
  18. Rossmann, H.R.; Bubendorf, A.; Zanella, F.; Marjanović, N.; Schnieper, M.; Meyer, E.; Jung, T.A.; Gobrecht, J.; Minamisawa, R.A.; Bartolf, H. Device Simulations on Novel High Channel Mobility 4H-SiC Trench MOSFETs and Their Fabrication Processes. Microelectron. Eng. 2015, 145, 166–169. [Google Scholar] [CrossRef]
  19. Kathalingam, A.; Kim, M.-R.; Chae, Y.-S.; Sudhakar, S.; Mahalingam, T.; Rhee, J.-K. Self assembled micro masking effect in the fabrication of SiC nanopillars by ICP-RIE dry etching. Appl. Surf. Sci. 2011, 257, 3850–3855. [Google Scholar] [CrossRef]
  20. Choi, J.H.; Latu-Romain, L.; Bano, E.; Dhalluin, F.; Chevolleau, T.; Baron, T. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching. J. Phys. D Appl. Phys. 2012, 45, 235204. [Google Scholar] [CrossRef]
  21. Kuah, S.H.; Wood, P.C. Inductively coupled plasma etching of poly-SiC in SF6 chemistries. J. Vac. Sci. Technol. A 2005, 23, 947–952. [Google Scholar] [CrossRef]
  22. Ekinci, H.; Kuryatkov, V.V.; Mauch, D.L.; Dickens, J.C.; Nikishin, S.A. Effect of BCl3 in chlorine-based plasma on etching 4H-SiC for photoconductive semiconductor switch applications. J. Vac. Sci. Technol. B 2014, 32, 051205. [Google Scholar] [CrossRef]
  23. Sung, H.-K.; Qiang, T.; Yao, Z.; Li, Y.; Wu, Q.; Lee, H.-K.; Park, B.-D.; Lim, W.-S.; Park, K.-H.; Wang, C. Vertical and bevel-structured SiC etching techniques incorporating different gas mixture plasmas for various microelectronic applications. Sci. Rep. 2017, 7, 3915. [Google Scholar] [CrossRef] [PubMed]
  24. Zhong, X.-Q.; Wang, J.; Wang, B.-Z.; Wang, H.-Y.; Guo, Q.; Sheng, K. Investigations on mesa width design for 4H–SiC trench super junction Schottky diodes. Chin. Phys. B 2018, 27, 087102. [Google Scholar] [CrossRef]
  25. Dowling, K.M.; Ransom, E.H.; Senesky, D.G. Profile evolution of high aspect ratio silicon carbide trenches by inductive coupled plasma etching. J. Microelectromech. 2017, 26, 135–142. [Google Scholar] [CrossRef]
  26. Huff, M. Recent advances in reactive ion etching and applications of high-aspect-ratio microfabrication. Micromachines 2021, 12, 991. [Google Scholar] [CrossRef] [PubMed]
  27. Lazar, M.; Vang, H.; Brosselard, P.; Raynaud, C.; Cremillieu, P.; Leclercq, J.-L.; Descamps, A.; Scharnholz, S.; Planson, D. Deep SiC etching with RIE. Superlattices Microstruct. 2006, 40, 388–392. [Google Scholar] [CrossRef]
  28. Kim, D.W.; Lee, H.Y.; Park, B.J.; Kim, H.S.; Sung, Y.J.; Chae, S.H.; Ko, Y.W.; Yeom, G.Y. High rate etching of 6H-SiC in SF6-based magnetically-enhanced inductively coupled plasmas. Thin Solid Films 2004, 447–448, 100–104. [Google Scholar] [CrossRef]
  29. Il’inskaya, N.D.; Lebedeva, N.M.; Zadiranov, Y.M.; Ivanov, P.A.; Samsonova, T.P.; Kon’kov, O.I.; Potapov, A.S. Micro-profiling of 4H-SiC by Dry Etching to Form a Schottky Barrier Diode. Semiconductors 2020, 54, 144–149. [Google Scholar] [CrossRef]
  30. Ahn, S.C.; Han, S.Y.; Lee, J.L.; Moon, J.H.; Lee, B.T. A Study on the Reactive Ion Etching of SiC Single Crystals Using Inductively Coupled Plasma of SF6-Based Gas Mixtures. Met. Mater. Int. 2004, 10, 103–106. [Google Scholar] [CrossRef]
  31. Srivastav, V.; Pal, R.; Vyas, H.P. Overview of etching technologies used for HgCdTe. Opto-Electron. Rev. 2005, 13, 197–211. [Google Scholar]
  32. Kim, B.; Kim, K.; Lee, B.T. Radio frequency bias power effect on surface roughness of silicon carbide plasma etching. Appl. Surf. Sci. 2003, 217, 261–267. [Google Scholar] [CrossRef]
  33. Cao, L.; Li, B.; Zhao, J.H. Etching of SiC Using Inductively Coupled Plasma. J. Electrochem. Soc. 1998, 145, 3609. [Google Scholar] [CrossRef]
  34. Kim, M.T. Kinetics of etching in inductively coupled plasmas. Appl. Surf. Sci. 2004, 228, 245–256. [Google Scholar] [CrossRef]
  35. Pan, W.-S.; Steckl, A.J. Reactive Ion Etching of SiC Thin Films by Mixtures of Fluorinated Gases and Oxygen. J. Electrochem. Soc. 1990, 137, 212–220. [Google Scholar] [CrossRef]
  36. Oxford Instruments Web. Available online: https://plasma.oxinst.com/campaigns/technology/icp-etching (accessed on 10 March 2021).
  37. Camara, N.; Zekentes, K. Study of the reactive ion etching of 6H–SiC and 4H–SiC in SF6/Ar plasmas by optical emission spectroscopy and laser interferometry. Solid State Electron. 2002, 46, 1959–1963. [Google Scholar] [CrossRef]
  38. Khan, F.A.; Roof, B.; Zhou, L.; Adesida, I. Etching of silicon carbide for device fabrication and through via-hole formation. J. Electron. Mater. 2001, 30, 212–219. [Google Scholar] [CrossRef]
  39. Ding, R.X.; Yang, Y.T.; Han, R. Microtrenching effect of SiC ICP etching in SF6/O2 plasma. J. Semicond. 2009, 30, 016001. [Google Scholar] [CrossRef]
  40. Luna, L.E.; Tadjer, M.J.; Anderson, T.J.; Imhoff, E.A.; Hobart, K.D.; Kub, F.J. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments. J. Micromech. Microeng. 2017, 27, 095004. [Google Scholar] [CrossRef]
  41. Sugiura, J.; Lu, W.-J.; Cadien, K.C.; Steckl, A.J. Reactive ion etching on SiC thin films using fluorinated gases. J. Vac. Sci. Technol. B 1986, 4, 349–354. [Google Scholar] [CrossRef] [Green Version]
  42. Jiang, L.; Cheung, R.; Brown, R.; Mount, A. Inductively coupled plasma etching of SiC in SF6/O2 and etch-induced surface chemical bonding modifications. J. Appl. Phys. 2003, 93, 1376–1383. [Google Scholar] [CrossRef]
  43. Jiang, L.; Plank, N.O.V.; Cheung, R.; Brown, R.; Mount, A. Surface characterization of inductively coupled plasma etched SiC in SF6/O2. Microelectron. Eng. 2003, 67–68, 369–375. [Google Scholar] [CrossRef]
  44. Jiang, L.; Cheung, R. Impact of Ar addition to inductively coupled plasma etching of SiC in SF6/O2. Microelectron. Eng. 2004, 73–74, 306–311. [Google Scholar] [CrossRef]
  45. Osipov, A.A.; Iankevich, G.A.; Speshilova, A.B.; Osipov, A.A.; Endiiarova, E.V.; Berezenko, V.I.; Tyurikova, I.A.; Tyurikov, K.S.; Alexandrov, S.E. High-temperature etching of SiC in SF6/O2 inductively coupled plasma. Sci. Rep. 2020, 10, 19977. [Google Scholar] [CrossRef] [PubMed]
  46. Huang, Y.; Tang, F.; Guo, Z.; Wang, X. Accelerated ICP etching of 6H-SiC by femtosecond laser modification. Appl. Surf. Sci. 2019, 488, 853–864. [Google Scholar] [CrossRef]
  47. Osipov, A.A.; Speshilova, A.B.; Endiiarova, E.V.; Osipov, A.A.; Alexandrov, S.E. Dry etching of silicon carbide in ICP with high anisotropy and etch rate. IOP Conf. Ser. Mat. Sci. Eng. 2020, 862, 022039. [Google Scholar] [CrossRef]
  48. Osipov, A.A.; Alexandrov, S.E.; Solov’ev, Y.V.; Uvarov, A.A.; Osipov, A.A. Etching of SiC in low power inductively-coupled plasma. Russ. Microelectron. 2018, 47, 427–433. [Google Scholar] [CrossRef]
  49. Xia, J.H.; Choy, S.F.; Gopalakrishan, R.; Tin, C.C.; Yoon, S.F.; Ahn, J. CHF3-O2 reactive ion etching of 4H-SiC and the role of oxygen. Microelectron. Eng. 2006, 83, 381–386. [Google Scholar] [CrossRef]
  50. Kim, B.; Lee, K.K.; Lee, B.T. Surface roughness of silicon carbide etching in a NF3 inductively coupled plasma. Vacuum 2005, 80, 343–349. [Google Scholar] [CrossRef]
  51. Szczęsny, A.; Śniecikowski, P.; Szmidt, J.; Werbowy, A. Reactive ion etching of novel materials—GaN and SiC. Vacuum 2003, 70, 249–254. [Google Scholar] [CrossRef]
  52. Kong, S.-M.; Choi, H.-J.; Lee, B.-T.; Han, S.-Y.; Lee, J.L. Reactive Ion Etching of SiC Using C2F6/O2 Inductively Coupled Plasma. J. Electron. Mater. 2002, 31, 209–213. [Google Scholar] [CrossRef]
  53. Kim, B.; Kim, S.; Ann, S.-C.; Lee, B.-T. Proximity-controlled silicon carbide etching in inductively coupled plasma. Thin Solid Films 2003, 434, 276–282. [Google Scholar] [CrossRef]
  54. Choi, H.-J.; Lee, B.-T. Inductively Coupled Plasma Reactive Ion Etching of SiC Single Crystals Using NF3-Based Gas Mixtures. J. Electron. Mater. 2003, 32, 1–4. [Google Scholar] [CrossRef]
  55. Kim, B.; Lee, S.Y.; Lee, B.-T. Etching profile of silicon carbide in a NF3/CH4 inductively coupled plasma. Microelectron. Eng. 2004, 71, 329–334. [Google Scholar] [CrossRef]
  56. Wang, J.J.; Lambers, E.S.; Pearton, S.J.; Ostling, M.; Zetterling, C.-M.; Grow, J.M.; Ren, F. High rate etching of SiC and SiCN in NF3 inductively coupled plasmas. Solid State Electron. 1998, 42, 743–747. [Google Scholar] [CrossRef]
  57. Ekinci, H.; Kuryatkov, V.V.; Mauch, D.L.; Dickens, J.C.; Nikishin, S.A. Plasma Etching of n-Type 4H-SiC for Photoconductive Semiconductor Switch Applications. J. Electron. Mater. 2015, 44, 1300–1305. [Google Scholar] [CrossRef]
  58. Scharnholz, S.; Stein von Kamienski, E.; Golz, A.; Leonhard, C.; Kutz, H. Dependence of Channel Mobility on the Surface Step Orientation in Planar 6H-SiC MOSFETs. Mater. Sci. Forum 1998, 264–268, 1001–1004. [Google Scholar] [CrossRef]
  59. Wolborski, M.; Bakowski, M.; Schöner, A. Analysis of bulk and surface components of leakage current in 4H-SiC PiN MESA diodes. Microelectron. Eng. 2006, 83, 75–78. [Google Scholar] [CrossRef]
  60. Jansen, H.; Gardeniers, H.; de Boer, M.; Elwenspoek, M.; Fluitman, J. A survey on the reactive ion etching of silicon in microtechnology. J. Micromech. Microeng. 1996, 6, 14–28. [Google Scholar] [CrossRef] [Green Version]
Figure 1. SEM images of various patterns formed on the SiC substrate by the ICP-RIE method: (a) Results of etching with using the masks with a different opening width: 35 µm, 25 µm, 20 µm, 100 µm, 70 µm and 70 µm (from the top left, row first); (b) vertically etched SiC with a well-etched sidewall profile; (c) circular and square etching patterns obtained for the mask opening width of 70 µm. Circular pattern enlarged five and ten times—in (d,e), respectively. Reprinted with permission from Ref. [23]. Copyright 2021 Sci. Rep.
Figure 1. SEM images of various patterns formed on the SiC substrate by the ICP-RIE method: (a) Results of etching with using the masks with a different opening width: 35 µm, 25 µm, 20 µm, 100 µm, 70 µm and 70 µm (from the top left, row first); (b) vertically etched SiC with a well-etched sidewall profile; (c) circular and square etching patterns obtained for the mask opening width of 70 µm. Circular pattern enlarged five and ten times—in (d,e), respectively. Reprinted with permission from Ref. [23]. Copyright 2021 Sci. Rep.
Materials 15 00123 g001
Figure 3. SEM image of etching into SiC—it is visible the trench structure with a period of 360 nm and the etching depth of 430 nm, and the shape determined by a perpendicular incident beam of reactive ions and radicals. The etching process parameters were: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 10 mTorr, t = 10 min.
Figure 3. SEM image of etching into SiC—it is visible the trench structure with a period of 360 nm and the etching depth of 430 nm, and the shape determined by a perpendicular incident beam of reactive ions and radicals. The etching process parameters were: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 10 mTorr, t = 10 min.
Materials 15 00123 g003
Figure 4. SEM profiles of SiC MESA structures with microtrenches (unevenness on walls of etched profiles) obtained after etching processes: (a) O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 5 mTorr, t = 12 min; (b) O2 flow rate = 50 sccm, SF6 flow rate = 50 sccm, PRIE = 50 W, PICP = 2500 W, p = 7 mTorr, t = 5 min.
Figure 4. SEM profiles of SiC MESA structures with microtrenches (unevenness on walls of etched profiles) obtained after etching processes: (a) O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 5 mTorr, t = 12 min; (b) O2 flow rate = 50 sccm, SF6 flow rate = 50 sccm, PRIE = 50 W, PICP = 2500 W, p = 7 mTorr, t = 5 min.
Materials 15 00123 g004
Figure 5. The SEM photo of the SiC/Cr MESA etched by SF6 + O2 plasma with a tip of the microtrench formation (at the bottom corner of the sidewall). The 65 nm-thick Cr layer is visible at the top of this MESA after the etching process with the following parameters: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 10 mTorr, t = 10 min.
Figure 5. The SEM photo of the SiC/Cr MESA etched by SF6 + O2 plasma with a tip of the microtrench formation (at the bottom corner of the sidewall). The 65 nm-thick Cr layer is visible at the top of this MESA after the etching process with the following parameters: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 10 mTorr, t = 10 min.
Materials 15 00123 g005
Figure 6. The edge SEM image of the SiC/Cr MESA structure with clearly pronounced Cr mask undercut after the etching process with the following parameters: SF6 flow rate = 100 sccm, PRIE = 25 W, PICP = 2500 W, p = 7 mTorr, t = 119 min.
Figure 6. The edge SEM image of the SiC/Cr MESA structure with clearly pronounced Cr mask undercut after the etching process with the following parameters: SF6 flow rate = 100 sccm, PRIE = 25 W, PICP = 2500 W, p = 7 mTorr, t = 119 min.
Materials 15 00123 g006
Figure 7. The micromasking effect observed in SiC etching processes: (a) with the use of the AZ 4562 resist and parameters: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 2000 W, p = 7 mTorr, t = 1 min.; and (b) as the result of erosion of the aluminium mask (O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 900 W, p = 7 mTorr, t = 20 min).
Figure 7. The micromasking effect observed in SiC etching processes: (a) with the use of the AZ 4562 resist and parameters: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 2000 W, p = 7 mTorr, t = 1 min.; and (b) as the result of erosion of the aluminium mask (O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 900 W, p = 7 mTorr, t = 20 min).
Materials 15 00123 g007
Figure 8. (a) The pattern after SiC etching process with parameters: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 10 mTorr, t = 10 min. (b) The cross-section of the pattern shown in (a). The relationship between the mask opening width and the etched depth is visible, thus confirms the microloading effect. Designed diameters were 420 nm and 220 nm for indicated in (a) left and right holes, respectively.
Figure 8. (a) The pattern after SiC etching process with parameters: O2 flow rate = 2 sccm, SF6 flow rate = 20 sccm, PRIE = 100 W, PICP = 1300 W, p = 10 mTorr, t = 10 min. (b) The cross-section of the pattern shown in (a). The relationship between the mask opening width and the etched depth is visible, thus confirms the microloading effect. Designed diameters were 420 nm and 220 nm for indicated in (a) left and right holes, respectively.
Materials 15 00123 g008
Figure 9. The relationship between oxygen concentration in the SF6 plasma and SiC etching rate. Fixed parameters are included in the inset.
Figure 9. The relationship between oxygen concentration in the SF6 plasma and SiC etching rate. Fixed parameters are included in the inset.
Materials 15 00123 g009
Figure 10. The relationship between oxygen concentration in the SF6 plasma and the Cr mask etching rate. Fixed parameters are included in the inset.
Figure 10. The relationship between oxygen concentration in the SF6 plasma and the Cr mask etching rate. Fixed parameters are included in the inset.
Materials 15 00123 g010
Figure 11. SiC/Cr selectivity vs. oxygen concentration in the SF6 plasma. Fixed parameters are included in the inset.
Figure 11. SiC/Cr selectivity vs. oxygen concentration in the SF6 plasma. Fixed parameters are included in the inset.
Materials 15 00123 g011
Figure 12. SiC etching rate as a function of RIE power applied. Fixed parameters are included in the inset.
Figure 12. SiC etching rate as a function of RIE power applied. Fixed parameters are included in the inset.
Materials 15 00123 g012
Figure 13. Cr mask etching rate as a function of RIE power applied. Fixed parameters are included in the inset.
Figure 13. Cr mask etching rate as a function of RIE power applied. Fixed parameters are included in the inset.
Materials 15 00123 g013
Figure 14. SiC/Cr selectivity as a function of RIE power applied. Fixed parameters are included in the inset.
Figure 14. SiC/Cr selectivity as a function of RIE power applied. Fixed parameters are included in the inset.
Materials 15 00123 g014
Figure 15. SiC etching rate as a function of ICP power applied. Fixed parameters are included in the inset.
Figure 15. SiC etching rate as a function of ICP power applied. Fixed parameters are included in the inset.
Materials 15 00123 g015
Figure 16. Cr mask etching rate of as a function of ICP power applied. Fixed parameters are included in the inset.
Figure 16. Cr mask etching rate of as a function of ICP power applied. Fixed parameters are included in the inset.
Materials 15 00123 g016
Figure 17. SiC/Cr selectivity as a function of ICP power applied. Fixed parameters are included in the inset.
Figure 17. SiC/Cr selectivity as a function of ICP power applied. Fixed parameters are included in the inset.
Materials 15 00123 g017
Figure 18. (a) The SEM photo of the SiC/Cr MESA structure with (b) ~210 nm layer of chromium at the top (the result of 119 min etching).
Figure 18. (a) The SEM photo of the SiC/Cr MESA structure with (b) ~210 nm layer of chromium at the top (the result of 119 min etching).
Materials 15 00123 g018
Figure 19. (a) The thickness of the Cr mask vs. time dependence used to estimate the time (t = 424 min) needed for completely etching of the Cr mask at the RIE power of 25 W. (b) The depth of SiC etching (with the Cr mask) vs. time (points) at three different stages of the etching process. From the linear fit of d(t), the etching depth corresponding to a complete Cr mask removal was estimated at ~24 µm.
Figure 19. (a) The thickness of the Cr mask vs. time dependence used to estimate the time (t = 424 min) needed for completely etching of the Cr mask at the RIE power of 25 W. (b) The depth of SiC etching (with the Cr mask) vs. time (points) at three different stages of the etching process. From the linear fit of d(t), the etching depth corresponding to a complete Cr mask removal was estimated at ~24 µm.
Materials 15 00123 g019
Table 1. Various plasmas used in the ICP-RIE processes and the main experimental aspects (slant angle, etching rate, and surface morphology) of the SiC etching.
Table 1. Various plasmas used in the ICP-RIE processes and the main experimental aspects (slant angle, etching rate, and surface morphology) of the SiC etching.
PlasmaSlant Angle
Ref.
Etching Rate
Ref.
Surface Morphology Ref.All References
SF6[23,30][21,28,37,38][8,18,21,28,30,38][8,18,21,23,28,30,37,38]
SF6 + O2[7,17,23,26,29,30,35,39,40][1,7,23,25,26,27,30,35,38,39,41,42,43,44,45,46,47][1,4,12,17,20,23,27,29,30,38,39,42,43,44,45,46][1,4,7,12,17,20,23,25,26,27,29,30,35,38,39,40,41,42,43,44,45,46,47]
SF6 + Cl2-[38][38][38]
SF6 + O2 + Ar-[44,48][44,48][44,48]
SF6 + Ar-[37,38][37][37,38]
SF6 + He-[21,41][21][21], Ref. in [35,41]
SF6 + CH4[30][30]-[30]
SF6 + CH4 + He-[21][21][21]
CHF3-[49][49][49], Ref. in [50]
CHF3 + O2[35][35,36][49][35,36,49]
N2--[13][13]
O2--[13][13]
Ar-[41][44,51]Ref. in [35,41,44,51]
C2F6[52][52,53][32,52]Ref. in [30,32,52,53]
C2F6 + O2[52,53][52,53][32,52,53][32,52,53]
NF3[54,55][54][54,55]Ref. in [30],
Ref. in [35,54,55]
NF3 + O2-[56][54,56][54,56]
NF3 + Ar-[56][56][56]
NF3 + CH4[54,55][50,54][50,54,55][50,54,55]
CBrF3 + O2[35][35]-[35]
CF4-[21,41][21][21], Ref. in [30],
Ref. in [35,41]
CF4 + O2[33][33,41,51][33][33], Ref. in [35,41,51]
CF4 + He-[21]-[21]
CF4 + Ar-[51]-[51]
CF4 + Cl2 + O2--[11][11]
BCl3[23][22][22][22,23]
BCl3 + N2[23][23]-[23]
BCl3 + Cl2[23][23,57][57][23,57]
BCl3 + Ar + Cl2-[22,57][22,57][22,57]
Cl2[23]-[23][23]
Cl2 + Ar-[38][4,19,38][4,19,38]
Cl2 + O2[5][5][5,23][5,23]
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Racka-Szmidt, K.; Stonio, B.; Żelazko, J.; Filipiak, M.; Sochacki, M. A Review: Inductively Coupled Plasma Reactive Ion Etching of Silicon Carbide. Materials 2022, 15, 123. https://doi.org/10.3390/ma15010123

AMA Style

Racka-Szmidt K, Stonio B, Żelazko J, Filipiak M, Sochacki M. A Review: Inductively Coupled Plasma Reactive Ion Etching of Silicon Carbide. Materials. 2022; 15(1):123. https://doi.org/10.3390/ma15010123

Chicago/Turabian Style

Racka-Szmidt, Katarzyna, Bartłomiej Stonio, Jarosław Żelazko, Maciej Filipiak, and Mariusz Sochacki. 2022. "A Review: Inductively Coupled Plasma Reactive Ion Etching of Silicon Carbide" Materials 15, no. 1: 123. https://doi.org/10.3390/ma15010123

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop